• 카테고리

    질문 & 답변
  • 세부 분야

    반도체

  • 해결 여부

    미해결

z7-20DDR DQS to CLK delay

23.11.04 05:22 작성 조회수 358

2

hello world 강의 수강 질의입니다.

[PSU-1] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 has negative value -0.050 . PS DDR interfaces might fail when entering negative DQS skew values.

[PSU-2] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 has negative value -0.044 . PS DDR interfaces might fail when entering negative DQS skew values.

[PSU-3] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.035 . PS DDR interfaces might fail when entering negative DQS skew values.

[PSU-4] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.100 . PS DDR interfaces might fail when entering negative DQS skew values.

 

xilinx community에서는 dsq to cloack delay를 0ns로 바꾸라고 하는데 바꿔도 같은 메세지가 뜨면서 generate bitstream도 안되고 systhesis도 안되네요

이게 vivado가 업데이트 되면서 negative value를 비허용하게 되면서 발생하는 문제라는데 잘 모르겠네요

답변 2

·

답변을 작성해보세요.

0

PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY 관련해서 문제가 없다는 관련 내용입니다.

출처 : https://digilent.com/reference/programmable-logic/guides/getting-started-with-ipi

image

0

안녕하세요 🙂

xilinx community에서는 dsq to cloack delay를 0ns로 바꾸라고 하는데 바꿔도 같은 메세지가 뜨면서 generate bitstream도 안되고 systhesis도 안되네요

다음 링크에 이유는 적혀있긴하지만, https://young-sunny.tistory.com/58

강의내에서 저도 리포팅 해주신 똑같은 이슈를 갖고 있었고, 잘 합성을 했는데요.

4장의 10분 20초대 보시면 똑같이 발생하고요.

Warning 으로 처리되어서 이 부분은 무시하시고 진행하셔도 됩니다. (최소한 Season1 을 진행하시면서 문제될 부분은 없어요. 추후의 Season2 에서도 문제되지 않습니다.)

Valid dation check 를 한번 더 해보세요. (첫번째 했을때 Warning message 가 나오지만, 이어서 한번 더하면 validate OK 가 됩니다. 신기한 tool 이죠?)

그러고 영상처럼 똑같이 하시면 넘어가실꺼에요.

확인부탁드립니다.

aegis0223님의 프로필

aegis0223

질문자

2023.11.04

빠른 답변 감사합니다

혹시나 놓친 게 있나 싶어 다시 처음부터 해봤습니다. 아래 사진은 validation을 한번 했을 때입니다. synth fail되고 같은 warning이 발생했습니다

답변해주신대로 다시 validation을 진행했는데 dsq에 대한 warning messages는 없어졌지만 다른 이슈로 실패합니다

혹시나 싶어서 한번 더 했는데 실패합니다...

안녕하세요 🙂

이렇게만 봐서는 저도 감이 잘 안오는데요.

log 를 공유해주시면 확인해볼께요.

image

간단한 lab 이라서, 잘못하고 할께 거의 없어보여요.

사용하시는 버전이 2023.2 인데요. Vivado tool 의 버그 일 수 있으니, 혹여나 문제를 못찾는다면 버전을 낮추셔서 진행하시는 것도 방법일 것 같습니다. (최후의 수단)

 

aegis0223님의 프로필

aegis0223

질문자

2023.11.04

log 기록입니다

*** Running vivado

with args -log design_1_processing_system7_0_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_processing_system7_0_0.tcl

****** Vivado v2023.2 (64-bit)

**** SW Build 4029153 on Fri Oct 13 20:14:34 MDT 2023

**** IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023

**** SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023

** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.

** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved.

source design_1_processing_system7_0_0.tcl -notrace

create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 602.730 ; gain = 219.285

Command: synth_design -top design_1_processing_system7_0_0 -part xc7z020clg400-1 -incremental_mode off -mode out_of_context

Starting synth_design

Attempting to get a license for feature 'Synthesis' and/or device 'xc7z020'

INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z020'

INFO: [Device 21-403] Loading part xc7z020clg400-1

INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes.

INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes

INFO: [Synth 8-7075] Helper process launched with PID 21856

---------------------------------------------------------------------------------

Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 1476.266 ; gain = 438.348

---------------------------------------------------------------------------------

INFO: [Synth 8-6157] synthesizing module 'design_1_processing_system7_0_0' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:53]

INFO: [Synth 8-6157] synthesizing module 'processing_system7_v5_5_processing_system7' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v:152]

INFO: [Synth 8-6157] synthesizing module 'BIBUF' [E:/Vivado/2023.2/scripts/rt/data/unisim_comp.v:1598]

INFO: [Synth 8-6155] done synthesizing module 'BIBUF' (0#1) [E:/Vivado/2023.2/scripts/rt/data/unisim_comp.v:1598]

INFO: [Synth 8-6157] synthesizing module 'PS7' [E:/Vivado/2023.2/scripts/rt/data/unisim_comp.v:111859]

INFO: [Synth 8-6155] done synthesizing module 'PS7' (0#1) [E:/Vivado/2023.2/scripts/rt/data/unisim_comp.v:111859]

INFO: [Synth 8-6155] done synthesizing module 'processing_system7_v5_5_processing_system7' (0#1) [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v:152]

WARNING: [Synth 8-7071] port 'M_AXI_GP0_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'M_AXI_GP1_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'S_AXI_GP0_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'S_AXI_GP1_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'S_AXI_ACP_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'S_AXI_HP0_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'S_AXI_HP1_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'S_AXI_HP2_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'S_AXI_HP3_ARESETN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'DMA0_RSTN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'DMA1_RSTN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'DMA2_RSTN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7071] port 'DMA3_RSTN' of module 'processing_system7_v5_5_processing_system7' is unconnected for instance 'inst' [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

WARNING: [Synth 8-7023] instance 'inst' of module 'processing_system7_v5_5_processing_system7' has 685 connections declared, but only 672 given [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:190]

INFO: [Synth 8-6155] done synthesizing module 'design_1_processing_system7_0_0' (0#1) [e:/iflearn/section2/project_4/project_4.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/synth/design_1_processing_system7_0_0.v:53]

WARNING: [Synth 8-7129] Port ENET0_GMII_COL in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_CRS in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RX_DV in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RX_ER in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RXD[7] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RXD[6] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RXD[5] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RXD[4] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RXD[3] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RXD[2] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RXD[1] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET0_GMII_RXD[0] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET1_GMII_COL in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET1_GMII_CRS in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET1_GMII_RX_DV in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET1_GMII_RX_ER in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port ENET1_GMII_RXD[7] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

 

aegis0223님의 프로필

aegis0223

질문자

2023.11.04

 

WARNING: [Synth 8-7129] Port FCLK_CLKTRIG0_N in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[31] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[30] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[29] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[28] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[27] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[26] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[25] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[24] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[23] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[22] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[21] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[20] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[19] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[18] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[17] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[16] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[15] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[14] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[13] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[12] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[11] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[10] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[9] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[8] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[7] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[6] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[5] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[4] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[3] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[2] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[1] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_DATA[0] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_VALID in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_ATID[3] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_ATID[2] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_ATID[1] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

WARNING: [Synth 8-7129] Port FTMD_TRACEIN_ATID[0] in module processing_system7_v5_5_processing_system7 is either unconnected or has no load

TclStackFree: incorrect freePtr. Call out of sequence?

로그에서 error 를 검색했는데, 키워드 매칭이 안되네요. (warning 은 최소한 합성이 되는 과정에서 break 를 걸진 않아요)

주신 정보만으로는 저도 어디가 문제인지 모르겠습니다.

tool 버전마다 버그를 모두 지원하는건.. 제 입장에서 어려울 것 같은데요.

수강자분 입장에서 tool 이슈 때문에 진도가 안나가는건... 너무 슬픈일이니까,

버전을 영상에서 사용했던 낮춰 보시는건 어떨까요? 당연히 잘 될꺼에요. (제가 Test 했던건 2020.2 ~ 2022.2 까지 입니다.) 이거 안되면.. 질문자님의 방법에 문제가 있는건데.. 거의 그럴 가능성은 없을꺼라 믿어요.

https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools/archive.html
위 링크에서 확인 부탁 드립니다.

aegis0223님의 프로필

aegis0223

질문자

2023.11.06

맛비님 주말내내 2022.2 다운 받고 다시 해봤는데 같은 문제가 발생하네요.

혹시 몰라서 제공해주신 프로젝트를 실행했는데 그건 잘되네요. 제거와 비교를 해봤지만 다른건 없어보는데 말이죠. 나머지 강의들은 아직 진행을 안했지만 같은 문제가 발생하면 그땐 더 잘 모를것 같네요

어쩌면 버전 문제가 아닐 수 있으니 다행이네요.

차이점이 있으니, 제공해드린건 정상적으로 되지 않을까 생각이 듭니다.

평일에는 시간이 많지 않아서, 주말에 봐드리려고 하는데요.

직접 만드신 안된다고 하시는 2022.2 프로젝트를 공유해주시겠어요?

드라이브 공유링크 주시면 주말에 확인해보겠습니다. :)

aegis0223님의 프로필

aegis0223

질문자

2023.11.11

https://drive.google.com/drive/folders/1Mg2xSyNWmkBYCAtQUpZ8PIyvNLx0CUUx?usp=sharing

프로젝트 링크입니다

확인해주셔서 감사합니다

안녕하세요 :)

제 PC 에서는 아무런 수정없이 너무 잘 되서, 다시 복기해보았습니다. (건드린거 없습니다)

image

질문자님의 log 파일이고요.

image다음은 공유해주신 프로젝트를 열어서, 제가 돌린 로그 입니다. 129 번째 라인부터 다르죠. (물론 강의처럼 끝까지 bitstream 생성 완료했습니다.)

image

차이점은 "TclStackFree: incorrect freePtr. Call out of sequence?"

이거 같은데요. 구글링을 해보았는데, 제가 생각하는 것은 질문자님이 갖고계신 환경 에 문제가 있을 수 있겠다 입니다. 시도하신 방법은 전혀 틀리지 않으셨어요. 그 부분은 걱정안하셔도 됩니다.

 

사용하고 계신 Windows 버전하고, PC 사양 알 수 있을까요?

시스템 -> 정보 가시면 확인하실 수 있고요.

참고로 제가 현재 사용중인 PC 정보입니다.image

확인 부탁드릴께요.

외장하드 (e 드라이브) 에 설치해서 돌리시는 것으로 예상되는데요. 외장하드의 사용 및 남은 용량도 알려주시겠어요?

image

aegis0223님의 프로필

aegis0223

질문자

2023.11.11

imageimageimage스펙입니다

aegis0223님의 프로필

aegis0223

질문자

2023.11.11

https://www.inflearn.com/questions/803831/bitstream%EC%9D%B4-%EC%83%9D%EC%84%B1%EC%9D%B4-%EC%95%88%EB%8F%BC%EC%84%9C-%EC%A7%88%EB%AC%B8%EB%93%9C%EB%A6%BD%EB%8B%88%EB%8B%A4

저랑 같은 문제를 갖고 계신 분인거 같은데 만약 외장 하드에 설치한 게 문제라면.... 큰일났네요... 노트북 내장이 256gb이라 마이크로sd 512gb 짜리 외장용으로 따로 산거라...

PC 사양, Windows 에서는 전혀 문제가 없어보이고요.

e 드라이브에서, exFAT 기반인게.. 마음에 걸리긴 하네요.

이거만 해보시죠.

Vivado 는 e 드라이브에 설치하신 것 같은데요.

프로젝트 생성하실때, 생성 path 는 c 드라이브에서 해보시겠어요? (혹시나....)

위에 과정인데도 같다면.. 다음을 확인해주세요.. ㅠ

===========================================

다음은 추측입니다. (저도 이런경우는 처음이라)

  1. C 드라이브에서 툴을 설치하고 프로젝트를 똑같이 진행하시면 해당 이슈는 말끔히 해결될 것 같습니다.

  2. C 드라이브에서 도저히 할 수 없다 하시면.. 외장 하드를 NTFS 포맷으로 사용하시면 해결 될 것 같아요. (exFAT 이 문제가 아닐까 하는 생각이)

참고로 저도 외장하드에 vivado 를 설치해서 사용중이고요. (오늘 Test 는 제가 외장하드를 안들고 나와서 c drive 에 WSL 설치된 vivado 로 돌렸지만) 잘 됩니다.

저하고 차이는 포맷말고는 이슈를 못찾겠네요.

확인 부탁드릴께요.

exFAT 단점이.. 다소 불안정한 것이다.. 라고 하는데, 이게 가장 마음에 걸리네요.

https://howtodic.com/196

 

혹여나 위에것도 전부 아니면, 사용하시는 PC 의 외장하드와의 호환성..?;;

어.... PC 의 문제는 확실해진 것 같습니다. ㅠ

aegis0223님의 프로필

aegis0223

질문자

2023.11.11

네 방금 프로젝트 파일을 C에 저장해봤는데 안되네요... 한번 외장 밀어보겟습니다

이거 마저 안되면 원인을 모르겠네요 ㅠ

Xilinx forum 에 현재 본인 상황을 정리해서 올려서 도움을 받아보는 최후의 방법이 남아있습니다.

https://support.xilinx.com/s/topiccatalog?language=en_US

잘 되시기를 기도하겠습니다.

이미 봤던 문제였는데;; 기억력이 좋지 못하네요. (해결은 질문자님이 해버렸다는;;)

외장하드 이슈에.. 점점 확신이 듭니다.

해당 내용은 강의 설치 영상 밑에 적어 놓았습니다.

image

aegis0223님의 프로필

aegis0223

질문자

2023.11.11

감사합니다. 결과 말씀드릴게요!!

aegis0223님의 프로필

aegis0223

질문자

2023.11.13

맛비님 결국 해결했습니다.

포맷해도 안되길래 낙담했는데 모든 가능성을 찾아보니 숨겨진 문제를 찾았습니다.

보니까 이유가 두 가지인 듯 한데

하나는 vivado 2022 이후로부터 board file 추가 경로가 달라졌다는 점과

제 pc 이름이 한글이었다는 점이었습니다.

2주 동안 이거 때문에 스트레스 받았는데 드디어 해결해서 너무 기분이 좋네요.

아... pc이름이 한글... 이것도 문제가 될 수 있었겠네요. 해결되셨다니 다행입니다. 즐공하세요 :)