• 카테고리

    질문 & 답변
  • 세부 분야

    반도체

  • 해결 여부

    미해결

직접 만든 파일 실행하기

24.03.25 19:30 작성 조회수 84

1

=================

현업자인지라 업무때문에 답변이 늦을 수 있습니다. (길어도 만 3일 안에는 꼭 답변드리려고 노력중입니다 ㅠㅠ)

  1. 강의에서 다룬 내용들의 질문들을 부탁드립니다!! (설치과정, 강의내용을 듣고 이해가 안되었던 부분들, 강의의 오류 등등)

  2. 이런 질문은 부담스러워요.. (답변거부해도 양해 부탁드려요)

    1. 개인 과제, 강의에서 다루지 않은 내용들의 궁금증 해소, 영상과 다른 접근방법 후 디버깅 요청, 고민 상담 등..

  3. 글쓰기 에티튜드를 지켜주세요 (저 포함, 다른 수강생 분들이 함께보는 공간입니다.)

    1. 서로 예의를 지키며 존중하는 문화를 만들어가요.

    2. 질문글을 보고 내용을 이해할 수 있도록 남겨주시면 답변에 큰 도움이 될 것 같아요. (상세히 작성하면 더 좋아요! )

  4. 먼저 유사한 질문이 있었는지 검색해보세요.

  5. 잠깐! 인프런 서비스 운영 관련 문의는 1:1 문의하기를 이용해주세요.

==================

간단한 질문입니다. 직접 설계(코드 작성)를 하고 그 파일을 실행하기 위해서는 어떻게 해야할까요?

제가 vi practice.v로 설계를 하고 실행시키기위해 build파일을 복사하여 vi run에 붙여 넣은 뒤

xvlog ./practice.v

xelab practice -debug wave -s practice

xsim practice -R # do not check waveform

위와 같이 수정을 하였습니다.

그리고 ./run을 하니

ERROR: [XSIM 43-3225] Cannot find design unit work.practice in library work located at xsim.dir/work.

ERROR: Please check the snapshot name which is created during 'xelab',the current snapshot name "xsim.dir/practice/xsimk" does not exist

이러한 매세지가 떴습니다.

 

무엇이 문제일까요?..

답변 2

·

답변을 작성해보세요.

0

안녕하세요 🙂

직접수정하신 내용은 제가 봐드리기 어려워서, 직접 에러메세지를 찾아보시면서 해결하셔야 할 것 같아요.

전달드린 실습코드들의 build 파일과 .v 를 잘 파악하시어 수정하시면 되겠습니다.

 

양해부탁드릴께요.

즐공하세요 🙂

0

인프런 AI 인턴님의 프로필

인프런 AI 인턴

2024.03.25

안녕하세요, 인프런 AI 인턴입니다.

gobae12님께서 직접 설계한 Verilog 파일(practice.v)을 실행하는 과정에서 만난 어려움과 관련하여 몇 가지 해결 방법들을 찾아보았습니다. 질문하신 내용은 Verilog 파일 실행에 필요한 명령어 실행과 관련한 오류 메시지를 받으셨기에, 유사한 문제에 대한 답변 경험이 있는 내용을 기반으로 안내해 드리고자 합니다.

특히 xvlog, xelab, xsim 명령어를 사용하여 Verilog 시뮬레이션을 진행하는 과정에서 “Cannot find design unit” 및 “snapshot name does not exist”와 같은 오류 메시지에 대응하는 방법을 포함합니다.

비슷한 문제를 겪으신 다른 수강생 분의 질문과 그에 대한 답변 내용을 참고하시면 유용할 것 같습니다:

  • “build 재질문”에서는 xsim 관련 오류를 다루고 있습니다. 본인이 작성하신 Verilog 코드에 오타나 잘못된 부분이 없는지, 모듈 이름과 파일 이름이 일치하는지 확인하는 과정이 포함되어 있습니다. 특히, 모듈 이름과 파일 이름의 일치는 Verilog에서 중요한 부분이므로, 이 부분을 재확인해보시는 것이 좋습니다.

  • “안녕하세요 맛비님!”에서도 xsim.dir/work 관련 오류 해결 방법을 찾으실 수 있으며, 테스트 벤치 코드와 주요 코드 사이의 문제를 해결하는 과정을 찾아보실 수 있습니다.

이러한 자료들을 통해 문제를 해결하실 수 있길 바라며, 가장 중요한 부분은 모듈 이름과 파일 이름이 일치하는지, 올바른 파일을 참조하고 있는지 확인하는 것입니다. 또한, xvlog, xelab, xsim 명령어의 올바른 사용법을 다시 한번 확인해보시기 바랍니다.

저는 질문자님의 이해를 돕고자 지식공유자님의 기존 답변을 참고하여 유사한 답변 링크를 추천해드리고 있습니다.
현재 베타 기능으로 답변이 만족스럽지 않을 수 있는 점 양해 부탁드립니다. 🙏
추가적으로 궁금한 점이 있으시면, 이어서 질문해 주세요. 곧 지식공유자께서 답변해 주실 것입니다.