Thumbnail
BEST
하드웨어 반도체

설계독학맛비's 실전 Verilog HDL Season 1 (Clock부터 Internal Memory까지) 대시보드

(5)
103개의 수강평 ∙  1,191명의 수강생
220,000원

월 44,000원

5개월 할부 시
지식공유자: 설계독학맛비
총 41개 수업 (7시간 24분)
수강기한: 
무제한
수료증: 발급
난이도: 
입문
-
초급
-
중급이상
지식공유자 답변이 제공되는 강의입니다
폴더에 추가 공유

초급자를 위해 준비한
[반도체, 임베디드 · IoT] 강의입니다.

현업자와 함께 Verilog HDL을 이용하여 비메모리 반도체 설계의 기본 지식과 경험을 쌓아봅시다.

✍️
이런 걸
배워요!
비메모리 반도체 설계 기본지식
학교에서 배울 수 없는 현업자가 설계한 Verilog HDL 설계 및 검증 방법
FPGA를 시작하기 위한 설계 기초 지식
현업에서 필요한 설계지식! (멀뚱거리지 마세요)

 메모리 설계 엔지니어가 알려주는
실전 Verilog HDL: Clock부터 Internal Memory까지!

설치가 가장 어렵습니다.
해당 환경은 여러분들의 설계인생에 도움이 되리라 의심치 않음으로, 설치에 성공하신 분들께서 수강신청 부탁드립니다. (설치 영상은 무료 오픈중)
현업자인지라, 시간상 모든 버전을 서포트하기 어려워서
강의에서 사용한 설치 영상의 버전을 맞춰서 설치 부탁드립니다. vivado 2022.2 
tool 은 tool 입니다. 여러분들에게 전달해드릴 설계지식과 코드는 tool 버전에 영향을 받지 않습니다.

비메모리 설계 전문가를 꿈꾸는 당신을 위한
맛비 유니버스 로드맵을 확인해보세요. (그림 click 시 상세 로드맵 page 로 이동합니다.)


안녕하세요!
설계독학의 맛비입니다.

수강 전에 꼭! 아래 문서를 읽어주세요. 👀
내용이 다소 길지만 꼼꼼하게 읽어보시고 신중히 고민해보세요.

설계독학맛비의 Verilog HDL Season 1 필독 문서 (클릭)

이 강의는 8개월에 걸쳐 만들어졌습니다.
직접 운영 중인 '설계독학유튜브채널'에서 높은 조회수를 통해 검증된 강의라고 자신있게 말씀드릴 수 있습니다.
* 해당 영상은 현재 유튜브에서는 비공개 상태이며, 인프런을 통해서만 수강 가능합니다.


📌강의를 통해 얻을 수 있는 것들

  • 비메모리 설계 엔지니어가 갖춰야 하는 기본 지식과 설계의 자신감을 얻을 수 있습니다.
  • 학교가 아닌 실무에서 Verilog HDL 설계시 필요한 필수 지식을 배울 수 있습니다.
  • 해당 강의의 다음스텝, 설계에 필요한 내용을 이해하기 위한 초석을 다집니다.
    (본 강의에 이어지는 후속편으로, Verilog HDL Season 2 와 FPGA 를 기획하고 있어요. Season 1 은 필수입니다.)


📌강의에서 제공하는 리소스

  • 무제한 수강
  • Verilog HDL 실습코드
  • 여러분들이 앞으로 무료로 설계를 독학할 수 있는 Tool 과 공부방법을 제시합니다.
  • 검증된 무료 Simulation Tool 인 Vivado Xsim 을 사용합니다. 라이센스 눈치보지 마시고, 집에서 마음껏 돌려보세요.


한국에서 비메모리 설계 엔지니어가 된다면 💪

아시다시피 한국은 메모리 반도체 강국입니다. 비메모리 반도체 강국이 아닙니다.
정부와 기업이 많은 투자를 하고 있고, 실제로 국내에 많은 설계 회사들이 있습니다. 
취업사이트인 원티드, 링크드인, 사람인 등등 "Verilog HDL" 키워드를 검색해보세요. 
전 세계 많은 회사들이 반도체 설계 엔지니어를 찾고 있습니다.
가고싶은 회사가 있으신가요? 그 회사의 연봉을 검색해보세요.
크레딧잡에서 비메모리 설계관련 회사들을 예를 들면, 국내 기업 평균 연봉상위 1% 입니다.
받는 만큼 공부해야하는 직업이고, 쉬운길이 아닙니다. 


현업에서 만나요 🖐

필독문서를 읽어주셔서 진심으로 감사합니다.
여기까지 읽으셨으면 제 강의를 수강하지 않으셔도,
여러분들은 훌륭한 설계 엔지니어가 되실거라 확신합니다.
선택은 여러분들의 몫 입니다.
여러분들을 현업에서 만나 뵙기를 기대합니다.

감사합니다. 맛비 드림.


🎁 학생, 취준생분들께 할인 쿠폰을 드립니다! 🥰

아직 수입이 없는 분들의 부담을 조금이나마 덜어드리기 위해 마련했어요.
더 많은 분들에게 혜택이 돌아갈 수 있도록 양해부탁드립니다.  신청링크 (클릭)

이런 분들께 추천드려요!

🎓
학습 대상은
누구일까요?
대학교 전자과 2~4학년 수준 지식을 갖추신 분.
Verilog HDL language 를 공부해보신 분
설계 실무를 경험하고 싶으신 분
비메모리 설계분야로 취업을 희망하시는 분
📚
선수 지식,
필요할까요?
C 언어
Verilog HDL

안녕하세요
설계독학맛비 입니다.
설계독학맛비의 썸네일

안녕하세요. 설계독학의 맛비입니다.

현) Global Top10 Fabless 기업에서 HW IP 설계하고 있습니다.

반도체 설계관련 이야기들을 주제로 영상과 글을 쓰고 있습니다.
설계독학 유튜브, 설계독학 블로그, 맛비 블로그 (네이버)

커리큘럼 총 41 개 ˙ 7시간 24분의 수업
이 강의는 영상, 수업 노트, 첨부 파일이 제공됩니다. 미리보기를 통해 콘텐츠를 확인해보세요.
섹션 0. 설계독학맛비's Verilog HDL 을 시작하기 전에
섹션 2. Verilog HDL 을 이용한 설계 실습 (기초)
[HDL 1장] Testbench 에서 Clock 생성하기 ( Clock 이 없는 디지털 회로 설계를 논하지 말라. ) 10:45
[HDL 2장] Testbench 와 DUT (Design Under Test) 란? 13:32
[HDL 3장] Testbench 에서 Reset 만들기 (Reset 이 없다면 의도치 않은 결과 값을 만들어 냅니다. 중요한 신호죠!) 08:06
[HDL 4장] 저장을 위한 기본 로직! D FilpFlop 을 이해하기 (Latch 를 만들면 안돼요! Flip Flop 을 만드셔야 합니다.) 11:39
[HDL 5장] D FlipFlop 과 Reset 실습 (Reset 의 중요성은 여러번 말해도 됩니다.) 13:56
[HDL 6장] 반도체 설계에서 말하는 HW 와 Logic 대해 이해해보기 (feat Combinational Logic 살짝..) 06:27
[HDL 7장] Combinational Logic 이해하기 (4칙연산 실습. 여러분이 설계하는 디지털로직의 99.99% 는 Combinational + Sequential Logic 입니다.) 10:49
섹션 3. Verilog HDL 을 이용한 설계 실습 (중급)
[HDL 8장] Overflow 를 이해하고 최적의 bit 설정하기 (왜 갑자기 값이 0 으로 돌아가지..?) 12:03
[HDL 9장] Signed / Unsigned 를 이해하고 연산 실수를 피해보기 (내가 원한 결과 값이 안나와..ㅠㅠ) 09:43
[HDL 10장] 설계능력 향상을 위한 Counter 제대로 이해해보기 (이론편) - (카운터만 제대로 이해해도 어중간한 설계 신입보다 훌륭합니다!) 07:08
[HDL 11장] 설계능력 향상을 위한 Counter 제대로 이해해보기 (실습편) 07:46
[HDL 12장] HW 가속기의 비밀인 Pipeline 을 이해해보기 (기초편) - ( 병렬처리는 기본이고, HW 가 빠른 이유 하나더~!!) 06:27
[HDL 13장] HW 가속기의 비밀인 Pipeline 을 이해해보자 (이론편) 07:43
[HDL 14장] HW 가속기의 비밀인 Pipeline 을 이해해보자 (실습편) 11:25
섹션 4. Verilog HDL 을 이용한 설계 실습 (심화)
[HDL 15장] HW 의 동작을 제어하는 FSM 을 이해해보자. (이론편) - (원리만 깨달으면 자판기, 시계는 금방 설계합니다.) 09:26
[HDL 16장] HW 의 동작을 제어하는 FSM 을 이해해보자. (실습편) 10:41
[HDL 17장] HW 의 동작을 제어하는 FSM 을 이해해보자. (실습응용편) 12:44
[HDL 18장] 비메모리 설계 엔지니어가 알고 있어야하는 Memory 의 종류와 특징을 이해해보자. 10:18
[HDL 19장] Internal Memory Interface 에 대해 이해해보자 (FPGA 의 BRAM 을 이해하기 - 이론편) 09:31
[HDL 20장] Internal Memory Interface 에 대해 이해해보자 (FPGA 의 BRAM 을 이해하기 - 실습편) 15:40
섹션 5. 마치며
앞으로 무엇을 공부해야 하나요? 02:03
여러분들은 "비메모리 반도체 설계 엔지니어" 입니다. 현업에서 만나요. 01:25
섹션 6. (Appendix) 쉬어가기
[쉬어가기 1장] 비메모리 설계 (Verilog HDL) 를 배우면 할 수 있는 일은 무엇일까요?! (삼성채용공고 살펴보기) 05:03
[쉬어가기 2장] AI Inference Accelerator 의 승자는 누구일까 GPU, NPU, FPGA 의 현주소를 알아보자 11:33
[쉬어가기 3장] HLS (High Level Synthesis) 란 무엇인가? 이제라도!! 공부할 필요가 있어보입니다.. (새로운 설계방법론을 알아보자) 미리보기 10:56 [쉬어가기 4장] 새로운 설계방법론!! HLS 의 Tool 종류와 성공사례에 대해 알아보아요~ 미리보기 07:36
[쉬어가기 5장] 비메모리 설계를 위한 커리큘럼?? 커리어 패스?? 지식?? (정답은 없습니다 ^^) 08:23
[쉬어가기 6장] FPGA 의 Design Flow 에 대해 알아보자. (Xilinx's FPGA Design Flow Overview, 난이도 : 기초다지기) 미리보기 09:04
[쉬어가기 7장] Timing Diagram 손으로 그리지 마세요... (WaveDrom Tutorial) 13:06
섹션 7. Project : Matbi Watch
[Verilog HDL/FPGA 외전1 - 시계만들기] Matbi Watch 실습파일 (수강자분들에게만 공유드려요~)
[Verilog HDL/FPGA 외전1 - 시계만들기] 예고편 미리보기 03:23
[Verilog HDL/FPGA 외전1 - 시계만들기] Chapter 1. 1초 만들기 12:13
[Verilog HDL/FPGA 외전1 - 시계만들기] Chapter 2. 시,분,초 만들기 - Part1 14:45
[Verilog HDL/FPGA 외전1 - 시계만들기] Chapter 2. 시,분,초 만들기 - Part2 23:59
[Verilog HDL/FPGA 외전1 - 시계만들기] Chapter 3. FPGA 에 올려서 확인하자! 40:53
[Verilog HDL/FPGA 외전1 - 시계만들기] Chapter 4. Hackster.io 에 등록하기 (포트폴리오도 관리하고 전세계 사람들과 공유해요) 13:41
강의 게시일 : 2021년 03월 02일 (마지막 업데이트일 : 2023년 11월 19일)
수강평 총 103개
수강생분들이 직접 작성하신 수강평입니다.
5
103개의 수강평
5점
4점
3점
2점
1점
VIEW 추천 순 최신 순 높은 평점 순 낮은 평점 순 평점 순 높은 평점 순 낮은 평점 순
이상민 thumbnail
5
좋은 강의 잘 보고 갑니다. 앞으로도 더 좋은 강의 부탁 드립니다.
2022-06-07
지식공유자 설계독학맛비
수강평 진심으로 감사드립니다 :) 더 좋은 강의를 만들어 달라는 응원의 수강평이다.. 생각이 듭니다. 힘이 됩니다. 상민님도 좋은일 있으시기를 바랍니다. 항상 즐공입니다 :)
2022-06-07
송순규 thumbnail
5
설치하는데 꼬박 하루 걸리고 지금 수강신청하여 듣기 시작하였지만, 이렇게 언제든지 들을 수 있는 verilog 관련 강의를 올려주신점 정말 감사드립니다. 이런 강의는 2~30만원이 절대 아깝지 않다고 생각이 들고, 다음 강의도 꼭 들을 예정입니다. 혹시라도 FPGA로드맵 강의를 전부 찍어주신다면 저도 끝까지 달리도록 하겠습니다.!!
2022-06-03
지식공유자 설계독학맛비
수강평 진심으로 감사드립니다! 현업하고 병행하느라 계획한 로드맵이 나오는 과정이 조금 더디긴 해요 ㅠ 그래도 좋은 퀄리티를 위해 최선을 다하고 있으니까 다음 강의도 재밌게 들어주세요. FPGA 는 이미 나온상태라 같이 들으시면 역량에 배가 되시리라 생각됩니다. 즐공하세요!
2022-06-03
열심인 가자미 thumbnail
5
대체제가 없습니다.
2022-02-13
지식공유자 설계독학맛비
수강평 진심으로 감사합니다! 해당 강의로 끝이아닌 시작이란 마음가짐으로 즐공하시면 좋은 결과 있으실꺼에요. 즐공하세요 :)
2022-02-13
joshuahi thumbnail
5
Verilog 를 이용한 H/W 설계의 기본 및 공부해야할 방향을 알게되었습니다. 감사합니다.
2022-06-08
지식공유자 설계독학맛비
조슈아님 수강평 감사하드립니다 :) 기본 중의 기본을 다룬 강의라고 생각해요. 아직 갈길이 멀었지만 (저 포함) 배울 것이 많다는 것은 배워두면 경쟁력이 생긴다는 긍정적 마인드로 열심히 하시다보면 좋은일 있으실꺼라 믿습니다. 즐공입니다!
2022-06-08
김주성 thumbnail
5
IDEC나 SW-SOC같은 외부수업도있지만, IDEC같은경우 교수님둘이 많은 내용을 함축적으로 전달할려고해서 이해가 않되는 경우가 많음. 그렇다고 다시보기할수도없고. SW-soc같은경우는 시즌제? 강의 신청으로하는데 ( 온라인 강의같은경우 예전에 했던거 반복해서 틀어주는데 왜 수강신청같은걸 넣는지 모르겠음) 겁나 취업연계강의 아니면 겁나 간단한거함 솔직히 책보는게 더 도움됨. 이분 강의는 현직자입장에서 예제코드기반해서 설명해줘서 나름 이해도 되고 FPGA설계 할때 도움진짜 많이됨 강추!. 감사합니다 맛비님
2021-07-21
지식공유자 설계독학맛비
와.. 수강평 감사합니다 :) 부족한 부분이 있었겠지만 (없다면 말이안되죠) 잘 봐주셨다니 다행입니다. 앞으로도 학교에서 알려주지 않는 내용들을 다뤄보도록 하겠습니다. (학교 수업이 중요하지 않다는 뜻은 아니에요 ㅎ) 즐공입니다 :)
2021-07-22
연관 로드맵
이 강의가 포함된 잘 짜여진 로드맵을 따라 학습해 보세요!