묻고 답해요
131만명의 커뮤니티!! 함께 토론해봐요.
인프런 TOP Writers
-
해결됨10주완성 C++ 코딩테스트 | 알고리즘 코딩테스트
6-E 해당문제 이분탐색은 솔루션은 어떨까요?
큰돌님 안녕하세요? 오늘은 의견 질문을 들고 왔습니다강의 에서는 map을 제시하셨지만,이분탐색 챕터라 이분탐색으로 풀었더니 공간/시간복잡도가 map보다 좋아보여 의견을 여쭈고 싶습니다. 아이디어는 lower_bound로 해당 인덱스를 찾고, 그게 A(혹은B)집합에도 있다면 차집합에 +- 하는 방식입니다.아래는 제 코드입니다.http://boj.kr/df642c7e59444b08bd8e8e654012eafa 아래는 큰돌님과 제 소스코드의 시간/공간 복잡도 비교입니다.
-
해결됨[퇴근후딴짓] 빅데이터 분석기사 실기 (작업형1,2,3)
lightgbm 결과(y, pred)
안녕하세요 선생님!lightgbm cliassifier를 사용할때범주형 변수를 타입만 category로 바꾸면 사용이 가능하다고 하셨는데요학습할 결과도 범주형 그대로 학습시키면출력되는값도 그대로 범주형으로 출력되나요? 예를들어 예측해야할 값이 A,B,C,D,E라면 그대로 넣으면결과 = 모델.predict(test데이터) 했을때 결과를 출력하면 A,B,C,D,E로 나오는지 궁금합니다.
-
해결됨이득우의 언리얼 프로그래밍 Part2 - 언리얼 게임 프레임웍의 이해
컴파일오류
오류해결을 하고 싶습니다 다른 코드는 정상적으로 작동이 되어집니다
-
미해결
왕초보 대학교 과제 Verilog 코드 질문
안녕하세요? 대학교 과제로 Up and Down game을 만들어보려고 코드를 짜봤는데 모델심에서 시뮬레이션을 돌리면 에러가 떠서 이렇게 도움을 받고자..질문드립니다 동작만 가능하게 만들면 되어서 마음껏 수정하셔도 괜찮아요 (뭔가 제가 짠 게 비효율적인 것 같아서요..)아래는 제가 짠 코드들 이구요, 전체적인 동작은 다음과 같습니다. 1. State0에서 module LSFR을 불러와서 난수 rnd를 저장하고 State1로 넘어간다. 2. State1에서는 사용자에게 fpga보드의 스위치를 통해 4비트의 이진수 sw을 입력받고 bcd에 저장한다. 그러고나서 module bcd_seven을 불러와서 fpga보드의 숫자판에 seven을 출력하고 State2로 넘어간다. 3. State3는 rnd와 bcd 값을 비교하는 부분이다. 만약 rnd > bcd인 경우, led 에서 Red 불빛을 키고 다시 State1로 간다. 그렇지 않고 만약 rnd < bcd인 경우, led에서 Blue 불빛을 키고 State1로 간다. 그렇지 않고 rnd = bcd인 경우, led에서 Green 불빛을 키고 다시 State0으로 간다. ㄱ. 사용자로부터 입력받은 4bit 스위치 값을 fpga보드 위에 숫자판에 0~9까지의 숫자로 표시하는 bcd_seven 모듈`timescale 1ns/1nsmodule bcd_seven input [3:0] bcd; output [6:0] seven; reg [6:0] seven; always @(bcd) begin case (bcd) 4'b0000 : seven = 7'b0111111; 4'b0001 : seven = 7'b0000110; 4'b0010 : seven = 7'b1011011; 4'b0011 : seven = 7'b1001111; 4'b0100 : seven = 7'b1100110; 4'b0101 : seven = 7'b1101101; 4'b0110 : seven = 7'b1111101; 4'b0111 : seven = 7'b0000111; 4'b1000 : seven = 7'b1111111; 4'b1001 : seven = 7'b1101111; default : seven = 7'b0000000; endcase endendmodule ㄴ. 0~9까지의 숫자 중 하나를 랜덤으로 뽑아 rnd에 저장하는 LSFR 모듈`timescale 1ns/1nsmodule LFSR (input clk, input rst, output [3:0] rnd);reg [3:0] random, random_next, random_done;reg [2:0] count, count_next;wire feedback = random[3] ^ random[2];always @(posedge clk or posedge rst) beginif (rst) begin random <= 4'hF; count <= 0;endelse if (count == 3) begin count <= 0; // if (random < 10) // Limit the range to 0 to 9 random_done <= random % 10; endelse begin random <= random_next; count <= count_next; endendalways @(*) begin random_next = random; count_next = count; random_next = {random[2:0], feedback}; count_next = count + 1;endassign rnd = random_done;endmodule ㄷ. rnd와 bcd 값을 비교해서 led 불빛을 표시하는 Upanddown 모듈`timescale 1ns/1nsmodule Upanddown ( input clk, input rst, input [3:0] sw, output reg red_led, output reg blue_led, output reg green_led);reg [3:0] rnd;reg [3:0] bcd;reg [1:0] state, next_state;// Instantiate LSFR and bcd_seven modulesLSFR lsfr_inst ( .clk(clk), .rst(rst), .rnd(rnd));bcd_seven bcd_seven_inst ( .bcd(sw), .seven());always @(posedge clk or posedge rst) begin if (rst) begin state <= 2'b00; // Initial state: State0 end else begin state <= next_state; endendalways @(posedge clk) begin case (state) 2'b00: begin // State0: Generate rnd using LSFR lsfr_inst; // Call LSFR module rnd <= lsfr_inst.rnd; // Store the generated rnd next_state = 2'b01; // Move to State1 end 2'b01: begin // State1: Get user input from switch and display on 7-segment display bcd_seven_inst.bcd <= sw; bcd_seven_inst.seven(); // Call bcd_seven module bcd <= bcd_seven_inst.bcd; next_state = 2'b10; // Move to State2 end 2'b10: begin // State2: Compare rnd and user input, update LEDs, and go to the next state if (rnd > bcd) begin red_led = 1; blue_led = 0; green_led = 0; next_state = 2'b01; // Move to State1 end else if (rnd < bcd) begin red_led = 0; blue_led = 1; green_led = 0; next_state = 2'b01; // Move to State1 end else begin red_led = 0; blue_led = 0; green_led = 1; next_state = 2'b00; // Move to State0 end end default: begin next_state = 2'b00; // Default: Move to State0 end endcaseendendmoduleㄹ. rnd>bcd, rnd<bcd, rnd=bcd 등 전체적인 동작을 확인할 수 있는 테스트벤치`timescale 1ns/1nsmodule Upanddown_Tb;reg clk;reg rst;reg [3:0] sw;wire red_led, blue_led, green_led;// Instantiate Upanddown moduleUpanddown upanddown_inst ( .clk(clk), .rst(rst), .sw(sw), .red_led(red_led), .blue_led(blue_led), .green_led(green_led));// Clock generationinitial begin clk = 0; forever #5 clk = ~clk;end// Initial valuesinitial begin rst = 1; sw = 4'b0000; // Apply reset #10 rst = 0; // Scenario 1: rnd > bcd #20 sw = 4'b0010; // User input: 0010 #30 $display("Scenario 1: rnd > bcd, Expect Red LED: %0d, Blue LED: %0d, Green LED: %0d", red_led, blue_led, green_led); // Scenario 2: rnd < bcd #40 sw = 4'b1001; // User input: 1001 #50 $display("Scenario 2: rnd < bcd, Expect Red LED: %0d, Blue LED: %0d, Green LED: %0d", red_led, blue_led, green_led); // Scenario 3: rnd = bcd #60 sw = 4'b1101; // User input: 1101 #70 $display("Scenario 3: rnd = bcd, Expect Red LED: %0d, Blue LED: %0d, Green LED: %0d", red_led, blue_led, green_led); #100 $finish; // End simulation after some timeendendmodule
-
해결됨[퇴근후딴짓] 빅데이터 분석기사 실기 (작업형1,2,3)
3회 기출유형 작업형 2에서 제출항목에서 질문있습니다 !
학습 관련 질문을 남겨주세요. 상세히 작성하면 더 좋아요!질문과 관련된 영상 위치를 알려주면 더 빠르게 답변할 수 있어요먼저 유사한 질문이 있었는지 검색해보세요제출형태에 index와 y_pred를 넣으라고 되어있었는데, 이런 상황에서 실제 시험이면 index를 넣지않고 y_pred만 넣는다면 0점 처리가 되는건가요?
-
미해결[게임 프로그래머 도약반] DirectX11 입문
코드를 따라치는것이 의미가 클까요?
안녕하세요 유니티 엔진 시리즈나 클리커 게임 등 루키스님이한땀한땀 코드를 쳐가며 진행하시는 수업은 저도 따라쳐가며학습하고 있습니다. 그러다 문득 "따라치는 데 너무 시간이 많이 드는 것이 아닐까"라는 생각이 들더군요. 저의 경우에는 따라치면서 강의를 수강하는 것은 그냥 보는것 보다 시간이 2배 더 걸렸습니다. 루키스님은 이에 대해 어떻게 생각하시나요?
-
해결됨[퇴근후딴짓] 빅데이터 분석기사 실기 (작업형1,2,3)
2유형 전처리
데이터 전처리할때 타겟이 아닌날짜데이터나 이름, 아이디값 (호스트 아이디) 같은거는 학습에 불필요해서 컬럼을 삭제해주는것이 좋나요?? 같이 학습할 경우 성능이 저하될까요?
-
해결됨[퇴근후딴짓] 빅데이터 분석기사 실기 (작업형1,2,3)
2유형 최종 csv생성 시, 표현되는 값들의 소수점 관련문의
학습 관련 질문을 남겨주세요. 상세히 작성하면 더 좋아요!질문과 관련된 영상 위치를 알려주면 더 빠르게 답변할 수 있어요먼저 유사한 질문이 있었는지 검색해보세요안녕하세요.보통 작업형2 유형의 경우 예시된 pred 값이 소수점인 경우(보통 회귀모델)소수점이 2번째 자리까지 표현되는데 제가 만든 csv파일을 read해보면 소수점 6자리까지도 pred값에표현되고 있는데 상관없는건가요?rmse 값을 y_test로 불러왔을땐 예시로 만들어주신 값과내가 코드를 작성하여 만든값이 별로 차이는 없습니다.
-
해결됨[퇴근후딴짓] 빅데이터 분석기사 실기 (작업형1,2,3)
구버전 작업형3 문제 문의
안녕하세요! 캐글에서 구버전 작업형3문제를 풀다가 급하게 질문남깁니다 ㅜㅜ 문제에선 정규성이 검증되었다는 말이 없고, shapiro 결과로는 0.05보다 작게 나오더라구요 이럴땐 비모수 검정으로 진행해야한다고 알고 있었는데 풀이에서 ttest_rel로 풀이하셔서요 ㅜㅜㅜㅜㅜ
-
미해결이득우의 언리얼 프로그래밍 Part1 - 언리얼 C++의 이해
힙 메모리에있는 컨테이너 소멸시기
캡처를 잘못해서 UStudent안에다 만들었습니다. 위 상황의 궁금합니다..!저경우엔 안에있는 모든 데이터도 같이 소멸이 되는건가요?된다면 동적배열인데 어떻게 해제를 시킬수 있는걸까요? using사용시 UPROPERTY의 관리 대상이 안되는지도 궁금합니다. 애초에 빌드도 통과되지 않네요 ㅜㅜ
-
미해결이득우의 언리얼 프로그래밍 Part1 - 언리얼 C++의 이해
강의 내용 18:15 의 내용이 잘 이해가 가지않습니다.
값 타입이라 메모리관리할 필요가 없다는게 정확히 어떤 말인지잘 이해가 가지않습니다. ㅜㅜ UScriptStruct는 리플렉션의 관리를 받기 때문에 붙여주지 않는다는 말인가요 아니면 읽기 전용으로만 쓸거라 필요 없다는 이야기 일까요?? 아니면 블루프린트에서 사용하지 않을거기 떄문에?? TArray는 MyGameInstance멤버 변수로 들고있다가 나중에 소멸할거기때문에 붙여줄 필요가 없다는 말 일까요??
-
해결됨자바 ORM 표준 JPA 프로그래밍 - 기본편
Distinct 와 Set 사용에 대해서 질문이 있습니다.
일대다 관계에서 컬렉션을 조회하면 조회 결과 테이블을 엔티티에 매핑하다보니 JPA는 그대로 중복된 객체를 반환한다고 이해를 했습니다. 그런데 중복을 제거하기 위해서 Distinct 연산자를 붙이면 쿼리에도 Distinct가 들어가면서 SQL이 실행이 되는데요 영속성 컨택스트에서 같은 식별자를 가진 엔티티는 동일성을 보장하니까 불필요한 SQL이 포함된 Distinct보다HashSet<>으로 중복을 제거하는 방법은 별로일까요?
-
해결됨김영한의 자바 입문 - 코드로 시작하는 자바 첫걸음
2차원 배열을 통한 학생 수 & 성적 입력받기
안녕하세요 강사님... 제가 눈을 씻고 찾아봐도 어느 부분에서 잘 못 된지 모르겠습니다.......ㅜㅜ왜 국어 영어 수학이 안나오고1번 학생국어 점수:국어 점수:국어 점수:2번 학생영어 점수:영어 점수:영어 점수: 이런 형식으로 나올까욥,,,,
-
해결됨김영한의 실전 자바 - 기본편
12. 다형성과 설계 NewCar에서 pressBrake() 기능을 추가한다면..
안녕하세요 영한님!궁금한 점은 OCP 원칙까지 보고나서 생겨난 질문입니다.Car 인터페이스를 구현하는 K3Car, Model3Car, NewCar의 클래스, Driver는 Car인터페이스를 의존하고 있는데요.,,.강의 내용과 같이 Car 인터페이스에 있는 추상메소드들만 구현한 클래스끼리 있을 때는 크게 어려운 점이 없는 것 같지만.,.,위와 같이 NewCar에서 이 때까지 없었던 pressBrake()라는 기능을 새로 추가하여 Driver에게 해당 메소드를 사용하게 하고싶다면! 어떻게 코드를 짜야하는지가 저의 궁금증입니다!먼저 코드를 짜보면서 혼자 해결해보려고 했으나,.., 자바와 아직 완벽히 친해지지 못했는지 더 좋은 방법이 있지 않을까 하며 만족스러운 해법을 찾지 못 하고 있습니다..1. Driver 클래스의 drive() 메서드 블록 안에서 instanceof를 사용하여 car변수가 NewCar 클래스일 때 pressBrake()를 사용한다면?강의에서 배웠던 OCP원칙 중 Closed for modification에 모순이 생겨버리고.. 2. Car 인터페이스에 pressBrake() 메서드를 넣는다면?OCP 원칙에 모순이 생길 뿐더러 오히려 대대적인 코드 수정도 생겨버립니다..해당 사항에 대해서는 어떻게 대처를 해야 잘했다고 소문이 날지 궁금하여 질문을 올리게 되었습니다!
-
해결됨만들면서 배우는 리액트 : 기초
강의 노트 위치를 모르겠습니다
커리큘럼 밑에 있다는데 어디 있는건가요....?? ㅠㅠㅠ
-
미해결[리뉴얼] React로 NodeBird SNS 만들기
우분투 빌드 시간이 너무 깁니다.
ubuntu@ip-172-31-45-72:~/TwitterClone-FS-/front$ npm run build > react-nodebird-front@1.0.0 build > cross-env ANALYZE=true NODE_ENV=production next build Linting and checking validity of types .. ⨯ ESLint: ESLint configuration in .eslintrc is invalid: - Unexpected top-level property "parseOptions". ✓ Linting and checking validity of types Disabled SWC as replacement for Babel because of custom Babel configuration ".babelrc" https://nextjs.org/docs/messages/swc-disabled Webpack Bundle Analyzer saved report to /home/ubuntu/TwitterClone-FS-/front/.next/analyze/nodejs.html No bundles were parsed. Analyzer will show only original module sizes from stats file. Webpack Bundle Analyzer saved report to /home/ubuntu/TwitterClone-FS-/front/.next/analyze/edge.html Using external babel configuration from /home/ubuntu/TwitterClone-FS-/front/.babelrc ⚠ It looks like there is a custom Babel configuration can be removed : ⚠ Next.js supports the following features natively: ⚠ - 'styled-components' can be enabled via 'compiler.styledComponents' in 'next.config.js' ⚠ For more details configuration options, please refer https://nextjs.org/docs/architecture/nextjs-compiler#supported-features Creating an optimized production build ...계속 빌드를 진행하고있는데, ⨯ ESLint: ESLint configuration in .eslintrc is invalid: - Unexpected top-level property "parseOptions". 이부분, 통과못해도 계속해서 빌드가 진행되나요!? 아니면, 이부분때문에 지금 계속 빌드를 못하고 있는걸까요?평균적인 빌드시간을 알고 싶습니다.
-
해결됨[코드캠프] 시작은 프리캠프
아무리 찾아봐도 피그마 링크가 보이질 않습니다.
좋은 강의 들려주셔서 항상 감사합니다 선생님.다름이 아니라 아무리 강의를 뒤져봐도 피그마 링크가 보이질 않는데.. 혹시 링크가 어디에 있는지 알려주실 수 있나요?
-
해결됨[퇴근후딴짓] 빅데이터 분석기사 실기 (작업형1,2,3)
test_size를 조절하니 pred_proba와 test_id 개수가 맞춰졌습니다
랜덤포레스트로 단순하게 테스트사이즈를 0.2로 하고 진행했더니 행의 개수가 달라지더라고요! 그래서 계속 오류가 발생했는데 테스트 사이즈를 조절하다 0.25로 하니 61개로 딱 맞아서 답이 출력되네요!왜 그런지 혹시 이유가 있을까요?사진과 같이 roc로하면 확률이 나오는데 단순하게 pred로 하면 확률이 아닌 0 과1로 출력이 됩니다. 원래 이렇게 나오는건지 궁금하고 문제에서는 roc로 csv를 구하라는건지 궁금합니다. 감사합니다!
-
해결됨[코드캠프] 부트캠프에서 만든 고농축 백엔드 코스
yarn add @apollo-server 405 에러...
설치하려하는데 405 에러 나오네요....검색해서 나오는것도 몇가지 해보긴했는데...해결이 안되서 올려봅니다~## 에러메시지Error: https://registry.npmjs.org/@apollo-server: Request "https://registry.npmjs.org/@apollo-server" returned a 405
-
해결됨데이터 분석 SQL Fundamentals
select문 질문
- 학습 관련 질문을 남겨주세요. 상세히 작성하면 더 좋아요! - 먼저 유사한 질문이 있었는지 검색해보세요. - 서로 예의를 지키며 존중하는 문화를 만들어가요. - 잠깐! 인프런 서비스 운영 관련 문의는 1:1 문의하기를 이용해주세요.안녕하세요.아주 기초적인 질문이 있습니다.-- 고객명 Antonio Moreno이 1997년에 주문한 주문 정보를 주문 아이디, 주문일자, 배송일자, 배송 주소를 고객 주소와 함께 구할것.SELECT contact_name, address, order_id, order_date, shipped_date, ship_addressFROM nw.customers aJOIN nw.orders b ON a.customer_id = b.customer_idWHERE a.contact_name = 'Antonio Moreno'AND b.order_date BETWEEN to_date('19970101', 'yyyymmdd') AND to_date('19971231', 'yyyymmdd'); 위 코드처럼 select문에서 alias를 빼고 작성해도 문제가 없이 나오는데 큰 의미는 없는건가요?어떤 테이블에서 가져온 컬럼값인지 명확히 해주기위해서 필요한 것인가요? alias를 반드시 붙혀야만 실행되는 코드들도 있던데, 차이점이 궁금합니다. SQL을 배운 지 얼마되지 않아 이렇게 질문을 남깁니다.