• 카테고리

    질문 & 답변
  • 세부 분야

    반도체

  • 해결 여부

    미해결

코드 관련 질문있습니다.

21.06.23 11:51 작성 조회수 214

1

안녕하세요 맛비님, 실습코드 관련 질문이 있습니다.

사진과 같이 $display를 사용하면, vivado gui에서 simulation을 돌렸을때 mobaxterm 상의 터미널에 Start!, Finish! 같은 것들이 띄워져야되는게 맞나요?(Hello World가 터미널에 나왔듯이)

답변 1

답변을 작성해보세요.

0

제가 외부에 나와있어서, 스크린샷으로 답변을 못드리지만, 글로 설명을 우선 해볼께요.

gui 상의 vivado tool 의 시뮬레이션 화면에서.

하단을 보시면, terminal 창이 있습니다.

거기에 display의 출력이 찍혀있을꺼에요.

mobaxterm 상에서 찾아보시면 보이지 않을까...? 예상을 합니다. (이거는 제가 집에가서 확인해볼께요.) 오늘 밤에 댓글을 달아드리겠습니다 :)

김시형님의 프로필

김시형

질문자

2021.06.23

vivado tool 하단에 뜨네요.

감사합니다!!

안녕하세요 :)

확인해보니까 vivado gui 를 띄우는 simulation 에서는, mobaxterm 에서는 display 가 되질 않고, vivado 의 tool 하단에만 출력이 되네요.

만약에 gui 모드가 아니라면, mobaxterm 에 display 가 됩니다. ( hello world matbi 참고.)

그럼 즐공하세요 :)