묻고 답해요
158만명의 커뮤니티!! 함께 토론해봐요.
인프런 TOP Writers
-
미해결
메일인증
메일인증관련해서요. 제 메일용량이 다차서 못받았는데 다시 받을 수 있나요?
-
미해결그림으로 쉽게 배우는 운영체제
실무적으로 궁금한게 있습니다ㅠ
최근 회사에서 팀을 옮기면서 소프트웨어 관련 업무를 하고 있습니다. 전 개발자도 아니였고, 이전 근무지에서 관련 업무를 하지 않았기 때문에 최근 열심히 임베디드/소프트웨어 관련 강의를 열심히 듣고 있는데요. 궁금한건, 현업에선 보통 RAM/EEPROM 등 메모리 관련 충돌(읽기/쓰기중 인터럽트시 등) 문제점이 많아서 해당 내용의 설계 관련된 내용을 좀 딥하게 알고 싶은데... 감자님 포함해서 인프런의 다른 강의를 봐도 메모리 설계 관련 내용은 없는 것 같더라구요... 혹시 위와 같은 괴리를 좀 해결하기 위한 답변 혹은 도움이 가능하실지 싶어 문의드립니다.ㅎ
-
해결됨김영한의 실전 자바 - 기본편
클래스명, 인스턴스 질문 있습니다.
안녕하세요.자바 기본 (static 변수 3, 9분 30초~) 수업 내용과 관련하여 관련 질문이 있습니다.Data3 data4 = new Data3("D"); System.out.println("D count = " + data4.count); System.out.println(Data3.count); 정적 변수에 접근하는 두 가지 방법을 알려주셨는데,용어 정리가 잘 안되어서요. 인스턴스를 통한 접근 data4.count 에서data4를 "인스턴스명"이라고 불러도 되는 것인가요?
-
해결됨실리콘밸리 빅테크 29개의 실습으로 배우는 시스템 디자인 설계
선생님 강의 노트 수정 막으셔야 할 것 같습니다
딥시크 강의 노트가 수정이 되는 것 같아서요.접근 권한 막아주셔야 할 것 같습니다.
-
해결됨C개발자를 위한 최소한의 C++
C++ 파일 구조 질문
헤더1개 Cpp파일 1개 코드를 여러 개의 파일로 모듈화하는 방법에 대해 질문드립니다.함수 모듈화, 헤더파일 디자인, 파일 구조 설계, 순환참조 방지 등에 대한 지식이 없어서 어떻게 파일을 속성에 따라 나누고, include해야 원래 코드와 동일하게 동작하게 만들 수 있는지 모르겠습니다. gpt 한테물어보니 디자인패턴을 공부하라고 하는데, 디자인 패턴은 이미 파일 구조가 짜여진 내에서 클래스를 세분화하거나 코드 재사용성을 위한 상속에 관한 내용 같았습니다. 위 경우 어떤 내용을 공부하거나 참고하면 좋을까요? 정말 고민되어서 여쭤봅니다. ㅎㅎ
-
해결됨한 입 크기로 잘라먹는 Next.js(v15)
React가 서버 컴포넌트를 지원하는 이유
안녕하세요 선생님. 강의 잘 듣고 있습니다.강의를 듣고 Next.js도 아니고 React는 CSR 방식인데 왜 서버 컴포넌트를 지원하지? 라는 의문이 생겼습니다.React 공식문서를 보니 프레임워크와 통합하기 위해 Next.js 팀과 협력했다고 나오더라고요. React가 서버 컴포넌트를 지원하게 된 계기가 Next.js의 SSR 때문인지 궁금합니다.공식문서 : https://react.dev/learn/start-a-new-react-project#bleeding-edge-react-frameworks
-
해결됨[언리얼 공인강사 직강] 코딩없이 언리얼 엔진5 VR 콘텐츠 개발하기
ball 하늘로 뚫고 날아가요
질문은 확인 즉시 답변드립니다! :)메일이나 본 질문란을 이용하여 접수해주세요.질문은 먼저 접수된 순으로 답변드립니다!구체적인 기기(Meta Quest3, 3s 등)와 엔진 버전을 알려주시면 원활한 답변에 도움이 됩니다. 스크린샷이나 녹화영상 등을 통해 설명해주시면 더욱 감사합니다! 안녕하세요 모든 방법을 다 차근차근 따라 갔지만, 시뮬레이션 결과 공이 하늘로 날아가는 것을 확인했습니다.. 어떤걸 확인하면 될까요?
-
미해결홍정모의 따라하며 배우는 C++
const의 유무
혹시 변수에 const를 붙이는 순간을 나누는 기준이 있을까요?
-
미해결[코드팩토리] [초급] 8시간만에 끝내는 코드팩토리의 Typescript 완전정복 풀코스
tsconfig.json 설명
Typescript 기본 타입 - 3:00 에tsconfig.json 관련해서 배우는 내용도 있다고 하셨는데요혹시 어디쯤인지 알 수 있을까요
-
미해결김영한의 실전 자바 - 고급 1편, 멀티스레드와 동시성
signal을 받은 스레드의 대기큐에서 우선순위
안녕하세요 영한님. 스레드의 대기 강의에서 질문이 있습니다. BoundedQueueV5를 사용하여 [소비자 먼저 실행] 때 질문입니다. 제가 이해한 것을 쭉 요약해볼테니 어디에서 틀렸는지, 왜 아닌지 답변을 부탁드립니다. ReentrantLock을 사용하게 되면 대기 큐와 producerCond, consumerCond가 있습니다. 소비자1,2,3은 현재 consumerCond에 있는 상황이고 이제 [생산자 시작]으로 넘어가게 됩니다. 생산자1이 락을 획득하였다고 가정하겠습니다. 그럼 생산자1이 락을 획득하여 생산을 시작하겠고, 생산자2와 3은 대기 큐에 등록되어 WAITING 상태로 락을 기다리고 있다고 생각했습니다. 생산자1이 생산을 하고 consumerCond.signal();을 호출하여 consumerCond에 있는 소비자1,2,3 중 하나를 깨웁니다. (소비자 1을 깨웠다고 가정)소비자1은 consumerCond에서 대기 큐로 이동하여 락을 받을 준비를 합니다. 생산자1이 종료되면서 락을 넘겨줍니다.이때 락을 받기 위해 대기중인 스레드가 소비자1, 생산자2, 생산자3이 있는데 왜 항상 소비자1이 우선적으로 락을 획득할까요? signal()을 통해 깨어난 스레드는 락을 우선적으로 획득할 수 있는 무언가가 주어지는건가요?? 답변을 기다리겠습니다. 감사합니다
-
해결됨C개발자를 위한 최소한의 C++
빈 포인터에 멤버함수 호출
class A{public:int foo(int num) { return num; }};int main(){A* a = nullptr;int pa = a->foo(10);return 0;}이 코드를 실행하면 문제가 나지 않았습니다. 멤버 함수 호출이라는 것이 결국에 함수에 this포인터만 넣어주는 형태이기 멤버를 건드리지 않는 이상 런타임상에서 크래시가 나지 않는 걸로 생각은 하는데 이 생각이 맞을까요?
-
미해결30분 요약 강좌 시즌4 : 알잘딱깔센 GitHub
노션 다운로드가 막혀있는데 다시 확인 부탁드려요
- 학습 관련 질문을 남겨주세요. 상세히 작성하면 더 좋아요! - 먼저 유사한 질문이 있었는지 검색해보세요. - 서로 예의를 지키며 존중하는 문화를 만들어가요. - 잠깐! 인프런 서비스 운영 관련 문의는 1:1 문의하기를 이용해주세요. 노션이 다운로드 하는 부분이 없어요 로그인으로 넘어가고 이미 로그인을 한 상태에선 페이지만 생성이 될 뿐 다운로드가 되지가 않습니다 수정 부탁드립니다.
-
미해결김영한의 실전 자바 - 고급 1편, 멀티스레드와 동시성
생산자 소비자 대기 공간 분리 예제5 분석 질문
학습하는 분들께 도움이 되고, 더 좋은 답변을 드릴 수 있도록 질문 전에 다음을 꼭 확인해주세요.1. 강의 내용과 관련된 질문을 남겨주세요.2. 인프런의 질문 게시판과 자주 하는 질문(링크)을 먼저 확인해주세요.(자주 하는 질문 링크: https://bit.ly/3fX6ygx)3. 질문 잘하기 메뉴얼(링크)을 먼저 읽어주세요.(질문 잘하기 메뉴얼 링크: https://bit.ly/2UfeqCG)질문 시에는 위 내용은 삭제하고 다음 내용을 남겨주세요.=========================================[질문 템플릿]1. 강의 내용과 관련된 질문인가요? 예.2. 인프런의 질문 게시판과 자주 하는 질문에 없는 내용인가요? 예.3. 질문 잘하기 메뉴얼을 읽어보셨나요? 예.[질문 내용] 안녕하세요 영한님. 자바 고급편1 생산자 소비자 대기 공간 분리 - 예제5 분석 강의를 듣고 질문이 있어서 질문 올립니다. 소비자 먼저 시작 상황에서 질문이 있습니다.소비자 1,2,3이 대기상태에 들어가면서 consumerCond.await() 에서 대기를 하고 있습니다. 그 다음으로 생산자1이 락을 받고 실행이 되지요? 생산자가 생산을 하고 consumerCond에 signal을 주게 됩니다. consumerCond.await()에서 대기하고 있던 소비자를 깨우게 되겠죠. 그럼 대기하고 있던 소비자 중 하나가(소비자1)이 와서 락을 받고 소비를 한 뒤에 producerCond에 signal을 보냅니다. 여기서 제가 궁금한게 나옵니다. 대기상태에 있던 소비자1이 깨어나서 소비를 하고 producerCond에 signal을 줄때 producerCond에 대기중인 생산자가 하나도 없지 않습니까? 그럼 이때 생산자가 락을 받는다는 100%의 보장이 어떻게 이루어지는지 그게 궁금합니다. 질문을 요약해서 적겠습니다. 대기상태에서 깨어난 소비자가 producerCond에 signal을 보낼때 producerCond에 대기하고 있던 생산자가 하나도 없는데 어떻게 생산자가 그 락을 받는다는 100%의 보장을 할 수 있는지?대기상태에서 깨어난 소비자가 producerCond에 signal을 보낼때 producerCond에 대기하고 있던 생산자가 하나도 없는데 이럴때 소비자가 보낸 producerCond.signal(); 은 어떻게 처리가 되는지?감사합니다.
-
미해결it 취업을 위한 알고리즘 문제풀이 입문 (with C/C++) : 코딩테스트 대비
6번 관련 채점오류입니다
#include <iostream>using namespace std;int main(){ char a[100]; int i; int j; int num = 0; int count = 0; cin >> a; for (i = 0; a[i] != '\0';i++) { if (a[i] >= '1' && a[i] <= '9') { num = 10*num + (a[i]-'0'); } } for (j = 1 ; j <= num;j++) { if (num % j == 0) { count = count + 1; } } cout << num << endl << count <<endl; return 0;}다음과 같은 채점 20점이 나오는데 무슨 오류인지 모르겠습니다 로직은 제대로 구현한것 같습니다
-
해결됨[리뉴얼] 맛집 지도앱 만들기 (React Native & NestJS)
윈도우에서 Android를 실행하면 welcome 페이지 안 나오고 핸드폰 화면이 나오는데 혹시 이유를 아실까요?
"react": "18.3.1", "react-native": "0.77.0"
-
해결됨설계독학맛비's 실전 Verilog HDL Season 1 (Clock부터 Internal Memory까지)
SystemVerilog 에 대하여..
안녕하세요.열심히 verilog와 systemverilog를 공부중인 수강생입니다.학부에서 디지털설계를 배울때나, 뭐 인터넷에서 자료를 찾을 때 코드를 보면sequential logic : always @ (posedge clk) 사용combinational logic : always @(*) (혹은 assign) 사용이 공식처럼 되어있는 것 같고,맛비님의 Verilog season1의 강의를 들으며 코드를 봐도 마찬가지인데.... 현재 Systemverilog를 공부하고 있는데, 이런 저런 강의를 찾고 공부를 하다보니 , 여러 강의에서 아래와 같이 이야기를 하더라구요. ①Sequential logic에는 always @(posedge clk)이 아니라 always_ff @(posedge clk)을 사용하는 것이 좋고 combinational logic에는 always @(*) 대신 always_comb 를 사용하는 것이 좋다!(이유는 always_comb시 실수로 래치가 만들어지지 않고, 사람이 직접 @(*)을 관리하지 않고 자동적으로 감지하기 때문..) ②마찬가지의 맥락으로 여러 편리함의 이유때문에 variable 선언 시 reg 대신 logic을 사용하는 것이 편리하다. 이에 대해 한 가지 질문을 드리려고 합니다. 맛비님의 코드도 보면 always_ff나 always_comb, logic를 사용하진 않은 것 같은데 뭔가 다른 특별한 이유가 있어서 인가요?(=혹시 맛비님은 현업에서 logic이나 always_comb, always_ff을 사용하는데 Vivado simulation에서는 sv가 지원하지 않아서 강의용으로 코드에 사용하시지 않는 것인지.. 아니면 정말 뭔가 이유가 있어서 사용하지 않는 것인지가 궁금합니다.)
-
해결됨딥러닝 이론 + PyTorch 실무 완전 정복
[섹션3, PyTorch로 구현해보는 Loss Function] 분류task loss함수 질문입니다.
좋은 강의 정말 잘 듣고있습니다. 항상 감사합니다.다름이 아니라 nn.BCEloss 나 nn.BCEWithLogitsLoss에서 이름에 B(Binary)가 들어가 이진분류 문제에 사용하는 함수인가 싶었는데, 실습 강의때 처럼 다중 분류 문제의 loss 함수로 사용해도 괜찮은 것인지 여쭙고 싶습니다.generate_onehot 함수는 클래스가 10개인 다중분류 데이터를 생성합니다.batch_size = 16 n_class=10 def generate_onehot(batch_size=16, n_class=10): pred = torch.nn.Softmax()(torch.rand(batch_size, n_class)) gt = torch.rand(batch_size, n_class) gt = torch.tensor(gt == torch.max(gt, dim=1, keepdim=True)[0]).float() # convert to onehot return pred, gt
-
미해결실무자를 위한 구글애널리틱스(GA4+GTM) 활용법(25년 Update)
태그 설정
구글 태그 관리자에서 태그 생성 시태그 ID를 입력하는 곳에데이터스트림의 추적 ID를 입력하여 태그를 생성하였는데구글 애널리틱스의 실시간 보고서에도 아무런 데이터가 잡히지 않습니다. 설정한지 48시간은 지났는데도 아무런 데이터가 추적되지 않아 혹시나하고 구글 애널리틱스에 가입하며 자동으로 생성된 구글태그의 태그 아이디를 넣어보았더니 데이터가 추적되었는데요 강의 내용에서는 추적 ID를 입력하면 된다고 했는데뭐가 문제인걸까요?? 이렇게 설정해도 아무 문제 없는 걸까요?
-
해결됨자바(Java) 알고리즘 문제풀이 입문: 코딩테스트 대비
제가 작성한 코드에서 간단한 질문이 있습니다.
public List<String> solution(int n, String[] str) { List<String> answer = new ArrayList<>(); for (String s : str) { char[] c = s.toCharArray(); int lt = 0; int rt = c.length - 1; while(lt < rt) { char temp = c[lt]; c[lt] = c[rt]; c[rt] = temp; lt += 1; rt -= 1; } answer.add(new String(c)); } return answer; }제가 작성한 코드입니다. 1)강사님은String temp = String.valueOf(c);answer.add(temp);이런 식으로 String.valueOf()를 통해 char 배열을 String으로 변환하셨지만, 저는 그냥answer.add(new String(c));그냥 이렇게 String 생성자를 사용했습니다.정답 처리는 됐는데, 혹시 이런 식의 코드가 지금 문제 혹은 나중에 문제를 풀 때 안 좋은 점이 있을까요? 2)저는 습관적으로List<String> list = new ArrayList<>();이런 식으로 부모 타입으로 주로 선언하는데,혹시 ArrayList<String> list = new ArrayList<>();이런 식으로 구체적인 타입으로 선언해야만 문제를 푸는 경우도 나중에 나올까요?코딩 테스트에선 부모 타입보단 구체적인 타입으로 쓰는 습관을 가져야 하는지 궁금합니다. 강의 잘 듣고 있습니다.
-
미해결스프링 핵심 원리 - 기본편
빈 등록 초기화, 소멸 메서드 지정 질문
안녕하세요. 섹션 9의 빈 등록 초기화, 소멸 메서드편에서 질문 드립니다.인터페이스(InitializingBean, DisposableBean)설정 정보에 초기화 메서드, 종료 메서드 지정@PostConstruct, @PreDestroy 애노테이션 지원위 3가지 방법 중 2번째 방법에서요. init, close 같은 초기화, 소멸 메서드가 미리 구현이 되어있으면 그걸 @Bean 설정할 때 지정함으로써 초기화가 가능한 것은 이해했습니다. 그런데 만약 init, close같은 초기화, 소멸 메서드가 외부 라이브러리 클래스 내에 미리 구현되어있지 않다면 해당 클래스를 수정할 수는 없으므로 초기화가 불가능한게 맞나요?