묻고 답해요
158만명의 커뮤니티!! 함께 토론해봐요.
인프런 TOP Writers
-
미해결스프링 입문 - 코드로 배우는 스프링 부트, 웹 MVC, DB 접근 기술
회원가입 테스트 오류
학습하는 분들께 도움이 되고, 더 좋은 답변을 드릴 수 있도록 질문전에 다음을 꼭 확인해주세요.1. 강의 내용과 관련된 질문을 남겨주세요.2. 인프런의 질문 게시판과 자주 하는 질문(링크)을 먼저 확인해주세요.(자주 하는 질문 링크: https://bit.ly/3fX6ygx)3. 질문 잘하기 메뉴얼(링크)을 먼저 읽어주세요.(질문 잘하기 메뉴얼 링크: https://bit.ly/2UfeqCG)질문 시에는 위 내용은 삭제하고 다음 내용을 남겨주세요.=========================================[질문 템플릿]1. 강의 내용과 관련된 질문인가요? (예/아니오)2. 인프런의 질문 게시판과 자주 하는 질문에 없는 내용인가요? (예/아니오)3. 질문 잘하기 메뉴얼을 읽어보셨나요? (예/아니오)[질문 내용]여기에 질문 내용을 남겨주세요.9분 31초에 나오는 테스트 실행해봤는데 회원가입에서 중복회원이라고 에러가 뜨네요..transactional잘 되어있고 코드도 똑같은데 왜 안될까요?
-
미해결실전! 스프링 데이터 JPA
엔티티 와 도메인의 경계
[질문 템플릿]1. 강의 내용과 관련된 질문인가요? (예)2. 인프런의 질문 게시판과 자주 하는 질문에 없는 내용인가요? (예)3. 질문 잘하기 메뉴얼을 읽어보셨나요? (예)[질문 내용]안녕하세요 김영한님,강의 잘 듣고 있습니다.실무에서 Spring Data JPA를 사용하는데 궁금한 부분이 있어서 질문드립니다. @Entity 애노테이션이 달린 JPA Entity를 Domain처럼 비즈니스 로직을 넣고 사용하는 것과@Entity는 순수 DB 매핑만 하고 도메인 객체는 별도로 분리해서 설계하는 방법 두가지가 있는 것 같다고 생각이 듭니다.전자는 JPA의 기능들 (영속성 컨텍스트, Dirty Checking)을 잘 사용할 수 있을 것이고, 후자는 JPA 종속성 제거등의 이점이 있을 것 같습니다. 강의에서는 주로 비즈니스 로직을 넣고 사용하는 형태로 (changeTeam() 메서드 등) 개발을 했었다고 생각됩니다.두 방법 다 장점과 단점이 있을 것 같은데, 실무에서는 주로 어떤 방식을 더 많이 사용해서 개발 하는지 궁금합니다!
-
미해결자바와 스프링 부트로 생애 최초 서버 만들기, 누구나 쉽게 개발부터 배포까지! [서버 개발 올인원 패키지]
15강 질문
예외처리에서 boolean구문에 ID는 request.getId()로 받지만 왜 name은 getName()으로 안받고 그냥 name으로 받는 건가요/.?
-
해결됨[퇴근후딴짓] 빅데이터 분석기사 실기 (작업형1,2,3)
슬라이싱 관련 질문
안녕하세요.슬라이싱에서 마지막 앞 단어 추출할 때listbox[7:-1] 이렇게 입력하면, '-1'이 마지막 값(9)이니까 listbox[7:9]와 같은 결과가 나와야 하지 않나요?왜 listbox[7:8]과 같은 결과가 나오는 걸까요?
-
해결됨한 입 크기로 잘라먹는 Next.js(v15)
서버 컴포넌트의 렌더링 과정
Next.js의 App Router 강의를 듣다가 헷갈리는 부분이 있어서 질문 드립니다!서버 컴포넌트로 초기 접속을 하게 되면 서버에서 RSC Payload와 HTML을 렌더링해서 브라우저에 보여주는걸까요? 아니면 RSC Payload가 직렬화한 HTML 정보를 가지고 있어서 바로 브라우저에 보내지는걸까요? 공식 문서에는 하이드레이션을 한다고 되어 있는데 하이드레이션을 하게 되면 두 번 렌더링이 되는거 아닌가요?? Link를 통해 서버 컴포넌트로 이동하게 되면 클라이어트 컴포넌트가 이동하는 것처럼 CSR 방식으로 동작을 하는건가요? 만약 동작을 한다 그러면, prefetch된 RSC Payload를 통해서 브라우저가 새로운 UI를 구성하는건가요?
-
미해결스프링 입문 - 코드로 배우는 스프링 부트, 웹 MVC, DB 접근 기술
윈도우 연결 오류
학습하는 분들께 도움이 되고, 더 좋은 답변을 드릴 수 있도록 질문전에 다음을 꼭 확인해주세요.1. 강의 내용과 관련된 질문을 남겨주세요.2. 인프런의 질문 게시판과 자주 하는 질문(링크)을 먼저 확인해주세요.(자주 하는 질문 링크: https://bit.ly/3fX6ygx)3. 질문 잘하기 메뉴얼(링크)을 먼저 읽어주세요.(질문 잘하기 메뉴얼 링크: https://bit.ly/2UfeqCG)질문 시에는 위 내용은 삭제하고 다음 내용을 남겨주세요.=========================================[질문 템플릿]1. 강의 내용과 관련된 질문인가요? (예/아니오)2. 인프런의 질문 게시판과 자주 하는 질문에 없는 내용인가요? (예/아니오)3. 질문 잘하기 메뉴얼을 읽어보셨나요? (예/아니오)[질문 내용]여기에 질문 내용을 남겨주세요. 강의 따라가는 중에 실행하면 중간중간에 한번씩 연결 거부되는데 어떻게 해야될까요??
-
미해결스프링 입문 - 코드로 배우는 스프링 부트, 웹 MVC, DB 접근 기술
윈도우 h2 연결
학습하는 분들께 도움이 되고, 더 좋은 답변을 드릴 수 있도록 질문전에 다음을 꼭 확인해주세요.1. 강의 내용과 관련된 질문을 남겨주세요.2. 인프런의 질문 게시판과 자주 하는 질문(링크)을 먼저 확인해주세요.(자주 하는 질문 링크: https://bit.ly/3fX6ygx)3. 질문 잘하기 메뉴얼(링크)을 먼저 읽어주세요.(질문 잘하기 메뉴얼 링크: https://bit.ly/2UfeqCG)질문 시에는 위 내용은 삭제하고 다음 내용을 남겨주세요.=========================================[질문 템플릿]1. 강의 내용과 관련된 질문인가요? (예/아니오)2. 인프런의 질문 게시판과 자주 하는 질문에 없는 내용인가요? (예/아니오)3. 질문 잘하기 메뉴얼을 읽어보셨나요? (예/아니오)[질문 내용]여기에 질문 내용을 남겨주세요.질문이 있습니다. 예를 들어 오늘 수업듣고 다음날 다시 컴퓨터를 켜서 h2db에 들어가면 URL을 앞으로 계속 빨간 네모친 걸로 접속하면 되는건가요??
-
해결됨설계독학맛비's 실전 Verilog HDL Season 1 (Clock부터 Internal Memory까지)
퀴즈 4 cnt f/f 변수 출력값 관련 질문
안녕하세요 🙂[1. 질문 챕터] : fpga 외전 1 시계만들기 Chapter 1 2분 9초 퀴즈 4번 부분[2. 질문 내용]cnt flipflop의 변수 출력값이 1억 - 1이라고 하셨는데, 1억이 아닌지 여쭤보고 싶습니다. 보여주신 코드 상에서는 cnt f/f 변수의 출력값이 1억 -1임을 시뮬레이션에서 어떻게 확인하는 것인지 잘 모르겠어서, 아래와 이 d_flipflop 모듈을 추가한 회로도를 사용하여 시뮬레이션을 돌려보았습니다. 그 결과 flip flop의 output 값이 1억이 나타났는데 확인해주시면 정말 감사하겠습니다 [3. 시도했던 내용, 그렇게 생각하는 이유]처음 enable이 켜졌을 때 combinational logic에 의해 d_flipflop의 input 값이 1이 되고, rising edge일 때 해당 값이 저장되므로 첫번째 사이클부터 cnt f/f 변수의 출력값이 1로 나타난다고 생각했습니다. 따라서 1초가 지난 이후에도 출력값이 1억이 될 것이라고 생각했습니다. 해당 회로도를 기반으로 시뮬레이션을 돌려본 결과 입니다. (편의상 100사이클만 수행하였습니다.) 100 사이클 이후 d_flipflop의 outpu이 100으로 나타나므로 퀴즈 4번의 결과 또한 1억이 나올 것 같은데, 1억 -1이 나타나는 이유가 무엇인지 궁금합니다. +) posedge에 enable이 켜지는 상황에서는 posedge 시간에 f/f에 stable한 값이 전달되지 않으므로 첫 사이클에서의 output value가 0임을 확인했습니다. 최종적으로 cnt f/f의 출력값은 99였습니다. 그러나 이때에는 최종적인 cnt 변수 값 또한 99로 나타나므로 만약 퀴즈 4의 가정 상황이 posedge에서 enable이 켜지는 상황이라면 Q3과 Q4의 답 모두 1억 -1이 되어야 할 것 같습니다. 아래는 사용한 코드입니다. 혹시라도 시뮬레이션에서 잘못된 부분이 있다면 알려주시면 감사하겠습니다. // tb_quiz.v `timescale 1ns/1ps module tb_quiz(); reg clk; reg reset_n; reg enable; wire [31:0] cnt; initial begin clk <= 0; reset_n <= 1; enable <= 0; #50 reset_n <= 0; #10 reset_n <= 1; #50 enable <= 1; #1000 $finish; end always #5 clk = ~clk; quiz DUT ( .clk (clk), .reset_n (reset_n), .enable (enable), .o_cnt (cnt) ); endmodule // quiz.v `timescale 1ns/1ps module quiz(input clk, input reset_n, input enable, output [31:0] o_cnt); wire [31:0] current_cnt; reg [31:0] next_cnt; always @(*) begin if (enable) begin next_cnt <= current_cnt + 1; end else begin next_cnt <= current_cnt; end end assign o_cnt = current_cnt; d_flipflop u_d_flipflop( .clk (clk), .reset_n (reset_n), .i_value (next_cnt), .o_value (current_cnt) ); endmodule `timescale 1ns/1ps module d_flipflop (input clk, input reset_n, input [31:0] i_value, output [31:0] o_value); reg [31:0] r_value; assign o_value = r_value; always @(posedge clk or negedge reset_n) begin if (!reset_n) begin r_value <= 0; end else begin r_value <= i_value; end end endmodule
-
해결됨[퇴근후딴짓] 빅데이터 분석기사 실기 (작업형1,2,3)
강의연장 문의드립니다
안녕하세요!필기 8회 합격후 작년에 시험일때마다 일정이 겹쳐 접수만해놓고 실기 시험을 못쳤습니다..그래서 올해 10회차 실기시험을 보려하는데 만료까지 일주일남아서요ㅜㅜ혹시 연장가능할까요?!메일주소는 tmfql7473@naver.com입니다.
-
해결됨3D 프린터 모델링 입문
PATCH기능 문의 드립니다.
안녕하세요. 무드케이스2 에 9.33초 강의 듣고 있는데요, 기둥의 위아래 패치 적용중. 윗면은 잘 적용이 되는데, 아랫면은 라인을 다 잡아도 OK버튼이 비활성화되어 완료할 수 없습니다.뭐가 문제인걸까요?윗면 작업시와 다른점은 윗면 patch 작업시에는 엣지 선택할 때 오른쪽 하단에 edge 라고 표시되는데, 아랫면 엣지를 선택하면 2selections라고 나오네요.
-
미해결
IT 테스터, 테스트 엔지니어, QA 신입 취준 방향성
컴퓨터 공학과를 나와 IT 기본 지식(운영체제, 네트워크, 언어 기본 지식)은 보유하고 있습니다.현재 IT 기술 지원일을 하고 있고 이 분야랑 관계가 없는 신입 QA 일을 목표로 하고 있습니다.취준을 본격적으로 하기 전에 방향성을 확실히 잡고 싶어 질문 드립니다.1. QA에서 가장 중요한 핵심 역량2. QA 포지션에 신입으로 들어가려면 가장 중요한 준비3. 면접에서 중요하게 보는 부분4. 제가 수동 테스트 포트폴리오를 준비하고 있는데, 여기에 꼭 들어가야 하는 항목5. 제가 지금 IT 기술 지원 일을 하고 있는데, 이 경험을 QA 직무에 어떻게 연결하면 좋을지?6. 하루 일과7. 실무에서 사용하는 툴8. 수동 테스트 외에 자동화는 어느 수준까지 신입에게 기대하는 부분
-
미해결[코드팩토리] [초급] Flutter 3.0 앱 개발 - 10개의 프로젝트로 오늘 초보 탈출!
pubspec.yaml을 수정했는데 위젯에서 경로를 지정해주는 이유는 무엇인가요?
Image.asset('asset/images/logo.png')코드를 이렇게 쓰는 거면 pubspec.yaml에서 assets: - asset/images/를 설정한 이유가 따로 있을까요?
-
해결됨스프링부트로 직접 만들면서 배우는 대규모 시스템 설계 - 게시판
트랜잭션 레벨에 대해 궁금합니다!
안녕하세요. 쿠케님 강의가 너무 유익해서 잘듣고있습니다!강의를 진행하면서 문득 생각이 든건데 실무에서 트랜잭션 레벨을 조절을 하기도 하나요???기본 트랜잭션은 REPEATABLE_READ인데 갭락이 걸릴것을 우려해서 COMMITED_READ로 바꾼다거나 이런식으로 트랜잭션 레벨을 조절하는 경우가 있나요???
-
해결됨설계독학맛비's 실전 Verilog HDL Season 2 (AMBA AXI4 완전정복)
SPI, I2C, UART, Ethernet 인터페이스 사용법
안녕하세요 맛비님! 통신 모뎀 회사에 재직중입니다. 블록 디자인 작업(이건 노력, 시간 투자로 실력이 늘거라고 생각하는 중입니다..), AXI 버스, SPI, I2C, Ethernet, UART 를 사용해야 하는 상황이어서 verilog 시즌1, FPGA 시즌1 에 이어서 verilog 시즌2를 듣고 있습니다! 해당 강의 이후에는 fpga 강의에서 추천해주신 워크숍 내용을 공부할 예정입니다. 맛비님 강의에서는 AXI 버스를 사용하는 방법을 배우고 있고 추가로 SPI, I2C, UART, Ethernet 을 사용할 줄 아는 능력을 갖추기 위해서 Xilinx 사 워크숍 내용으로 커버가 될지 궁금합니다! 추가로 추천하시는 공부방법이 있는지 알려주시면 감사하겠습니다 ㅎ
-
미해결
안녕하세요
스프링 공부 열심히하고 있습니다. 화이팅
-
해결됨기초 탄탄! 독하게 시작하는 Java - Part 2 : OOP와 JVM
교안 p.305 'throw 필수' 질문
교안 305쪽에 두 가지 예외 종류Checked예외처리 강제화catch 혹은 throw 필수라고 되어있는데 'throw'가 아니라 'throws'필수인 것 같아 글 남깁니다:)
-
해결됨실리콘밸리 엔지니어와 함께하는 Elasticsearch
docker cp 명령 질문입니다.
안녕하세요. 강사님!"elasticsearch 설치해보기" 부분을 듣고 있는데 docker cp elasticstack_docker-es01-1:/usr/share/elasticsearch/config/certs/ca/ca.crt /tmp/.이 부분에서 막혔습니다.윈도우 환경의 "git bash"로 실습을 하고 있는데, 윈도우의 경우 /tmp/. 경로를 인식할수 없기 때문에 절대결로로 하라고 해서 C:\tmp를 만들고 아래같이 명령어를 치면 docker cp elastic-stack-docker-part-one-es01-1:/usr/share/elasticsearch/config/certs/ca/ca.crt C:\tmp이런 메세지가 나오는데copying between containers is not supported윈도우 환경에서 이 명령을 실행하려면 어떻게 해야 할까요?
-
미해결F6-비전공자·일반인을 위한 기업재무회계의 이해와 활용
수업 복습을 위해 강의자료 받아 볼 수 있을까요^^
안녕하세요!강의 수강 중, 수업 내용 복습을 위해 강의 자료를 요청드리려고 합니다.smmhmr@kyobobook.com위 메일로 강의 자료를 받아볼 수 있을까요?양질의 강의를 해주셔서 감사드립니다
-
해결됨도커 쓸 땐 필수! 도커 컴포즈
docker run -it -p 8080:80 --rm -v /home/nayuoh/docker-compose/:/usr/share/nginx/html/ nginx
이렇게 해도 index.html 내용이 바뀌지 않아요
-
해결됨도커 쓸 땐 필수! 도커 컴포즈
{pwd}
docker run -it -p 8080:80 --rm -v ${pwd}:/usr/share/nginx/html/ nginx ${pwd} 가 비어 있다고 나옴