강의

멘토링

커뮤니티

인프런 커뮤니티 질문&답변

홍인기님의 프로필 이미지
홍인기

작성한 질문수

설계독학맛비's 실전 Verilog HDL Season 1 (Clock부터 Internal Memory까지)

[HDL 0장] Hello World 프로그램 (맛비 세계에 오신 것을 환영합니다.)

hello world 강의도중 vi 실행시키니 이상한 창이 뜹니다!

작성

·

4.3K

1

늦은 시간 문의 드려죄송합니다!

hello world 강의도중 vi 실행시키니 이상한 창이 뜹니다! 또한 ./build 를 실행시키니 오류가 뜹니다! ㅠㅠ

-vi hello_world.v를 쳤을때-esc 키를 두번누르니 (정상적 화면 출력)

-wq 치고 나와서 ./build 를 치니 command not found 라는 문구가 나옵니다

command not found 가 왜뜨는걸까요? ㅠㅠ

 

답변 1

1

설계독학맛비님의 프로필 이미지
설계독학맛비
지식공유자

안녕하세요 :)

1. 영문 내용을 읽어보시면 swp 라는 파일을 발견 했다고 나옵니다.

다음 링크가 도움이 되실꺼에요. 조금만 구글링 해보시면 이런 Tool 관련 의문은 해결 가능하실꺼에요 :)

구글에 다음과 같이 검색해서 맨 윗 링크입니다.

image

https://dololak.tistory.com/378

image

2.설치영상에서 미적용된 부분이 있습니다. 메세지가 말하는 내용그대로 xvlog, xelab, xsim 명령어가 없어서 발생하는 문제 입니다.

설치 영상 중에 source 부분을 다루는 부분이 있습니다. 설치영상에서 25분 40초 부분 참고 부탁드려요.

image

즐공하세요 :)

홍인기님의 프로필 이미지
홍인기
질문자

이렇게 다시 문의하여 죄송합니다! 정말 답답한 마음에 다시 문의 드리게 되었습니다ㅠㅠ

1.설치 영상참고하여 시뮬레이션을 돌리기위한 실행파일 xvlog, xsim, xelab 있는것 확인하였습니다.

image

2.source 파일 연결

source ./tools/Xilinx/Vivado/2022.2/settings64.sh

image

3.vi hello_world.v 로 파일 실행한 화면

image

image

물결이 나오고 밑에 “hello_world.v” 1L,1C 라는 것이 뜹니다.(강의상에서와 달리 코딩할수 있는 부분이 안나옵니다.)

이상황에서 나가려면 -> :wq로는 안나가지고 :wq!를 쳐야 나와집니다!

강의에서 처럼 코딩할수 있는 부분이 나와야하는데 안나오는데 이부분은 어떻게 해야 할까요?

자꾸 문의드려 정말 죄송합니다!

홍인기님의 프로필 이미지
홍인기
질문자

4.일딴 아무꺼도 ~~~ 만 나오는 상황에서 강의와 같이 코딩을 한 후

module hello_world;

initial begin

$display ("hello");

$finish;

end

end module

image5. ./build 를 실행하니

image밑에 엄청난 에러들이 실행됩니다! vi 가 문제가 있는 것 같은데 머가 문제인지 구글링을 해봐도 잘안나오는것같습니다.

설계독학맛비님의 프로필 이미지
설계독학맛비
지식공유자

안녕하세요 :)

Q1.

imageA1. "vi 사용방법" 을 아시면 될 것 같아요. (구글링 하시면 됩니다.)

vi 가 어렵다 하시면, 다른 에디터를 사용하시는 것도 방법입니다.

https://www.leafcats.com/111

설계독학맛비님의 프로필 이미지
설계독학맛비
지식공유자

직접 타이핑 하신 것 같아요. (공유드린 코드는 제가 동작 확인하고 올립니다 :)

image

에러 메세지 보시면 "end" 라는 키워드에 이슈가 있다고 하네요.

올려주신 코드를 보니.. Verilog syntax error 를 발견했습니다.

image

"endmodule"

이렇게 붙이셔야 합니다.

 

문법인지라..... 책 한권 추천 드립니다.

https://search.shopping.naver.com/book/catalog/35307420470?query=Verilog%20hdl&NaPm=ct%3Dlbubbq8w%7Cci%3D77e1b57e0b48992c9def0cd8998e7724d8c2475d%7Ctr%3Dboksl%7Csn%3D95694%7Chk%3D2ef1242a73ee33ca46b2d69c743956ab29ad8f58

강의에서는 "문법을 알고있다" 가정하고 진행합니다.

즐공하세요 :)

홍인기님의 프로필 이미지
홍인기
질문자

넵 열심히 문법부분 숙지하도록 하겠습니다!! 답변주셔서 감사합니다!

홍인기님의 프로필 이미지
홍인기

작성한 질문수

질문하기