heejung28162527
@heejung28162527
Reviews Written
2
Average Rating
5.0
Posts
Q&A
delay ์ง๋ฌธ.
(์ฌ์ง) s๊ฐ๋จํ ์ฝ๋๋ถํฐ ๋ค์ ์์ํ๋ฉฐ ๊ณต๋ถํ๊ณ ์์ต๋๋ค. ์ ์ง ์ง๊ธ ์ด ๋ฐ๊ฒฌ์ด shift reg ์ ์ข ๋ ๋ค๊ฐ๊ฐ ์ ์๋ ๊ธธ์ด๋ผ๊ณ ์๊ฐํด์ ์ง๋ฌธ๋๋ฆฝ๋๋ค! * testbench `timescale 1ns / 1ps module tb_shift_reg_exam; reg clk; reg reset_n; reg [6:0] tb_value; always #5 clk = ~clk; integer i; initial begin reset_n = 1; clk = 0; tb_value = 0; #5 reset_n = 0; #5 reset_n = 1; #5 //@(posedge clk) for(i=1;i @(posedge clk); tb_value = i; end #10 $finish; end shift_reg_exam DUT( .clk(clk), .reset_n(reset_n), .value(tb_value) ); endmodule * DUT `timescale 1ns / 1ps module shift_reg_exam( input clk, input reset_n, input [6:0] value, output [12:0] sum ); reg [12:0] r_sum; wire [12:0] w_sum; always @(posedge clk or negedge reset_n) begin if(!reset_n) begin r_sum end else begin r_sum end end //assign sum = sum + value; assign w_sum = r_sum + value; assign sum = w_sum; endmodule ๋ง๋น๋๊ป์ ๋ง๋์ build ํ์ผ ์์ ํด์ ์๋ฎฌ๋ ์ด์ ๋๋ ธ์ต๋๋ค. ์ด์ฌํ ๊ณต๋ถํด๋ณด๊ฒ ์ต๋๋ค. ๊ฐ์ฌํฉ๋๋ค. ๊ถ๊ธํ ์ ์ ํ์ฌ ์ ์ ์ฝ๋ ์ด๋ ๋ถ๋ถ์์ r_sum ๊ฐ์ด delay ๋๊ฒ ๋ง๋๋์ง ๊ถ๊ธํฉ๋๋ค!!
- 1
- 4
- 747
Q&A
PS ๋ฅผ ํตํ PL ์ ์ด, ์ํ ํ์ธ๊น์ง!!
๊ฐ์ฌํฉ๋๋ค!!
- 1
- 2
- 1.1K
Q&A
top module / ๋ด๋ถ ๋ชจ๋, register ํ์ 2๋นํธ ์ฌ์ฉํ์ง ์๋๋ค.
top์ testbench๋ ๋ค๋ฅธ๊ฑฐ์๊ตฐ์.. ๊ฐ์ฌํฉ๋๋ค! ๋ฐ์ดํธ๋ฅผ 4bit๋ผ๊ณ ์ ๋ค๋.. ๋ฐ์ฑํ์.. 8bit!! ๋ด๋ถ๋ก ์ ๊ทผํ ๋๋ ๊ฐ์ 4byte ์ด๋ ํ์ 2bit๊ฐ ํ์์๋ค. ์ฌ๊ธฐ๋ ์ดํด๊ฐ ๋์์ต๋๋ค. ์ต์ข ์ ์ผ๋ก AXI interface ์ address ๋ byte ๋จ์์ด๋ฏ๋ก ํ์ 2bit์ ์ถ๊ฐํ์๋ค.. ์ด ๋ถ๋ถ์ด ์ดํด๊ฐ ๊ฐ์ง ์์ต๋๋ค...ใ 2'h0 -> h๊ฐ ์๋ฏธํ๋๊ฒ hexa ๋ง๋์?? 2'b00์ผ๋ก ํํํด๋ ๋๋ ๊ฑด๊ฐ์?
- 1
- 2
- 652
Q&A
์๋ฎฌ๋ ์ด์ ์ง๋ฌธ์ ๋๋ค.
๊ฐ์ฌํฉ๋๋ค! ํด๊ฒฐ๋์์ต๋๋ค^^
- 3
- 3
- 376
Q&A
Reset ๊ด๋ จ ์ง๋ฌธ์ ๋๋ค.
๋ต๋ณ ๊ฐ์ฌ๋๋ฆฝ๋๋ค. ์ฌ๊ธฐ์ ์ด์ด ๋ถ์ฌ์ ์ฐ๋๊ฒ ๋ง๋ ์ถ๋ค์.. ๋ค๋ฅธ ๊ณต๋ถํ์๋ ๋ถ๋ค์ด ์ฌ์ญค๋ณธ ์ง๋ฌธ ์ค Q3 r_valid ๊ด๋ จ ์ง๋ฌธ์ด ์์์ต๋๋ค. r_valid ๊ฐ์ ์ ๊ฐ ์๋ฎฌ๋ ์ด์ ์ ์ถ๊ฐํด์ ๋ฐ๋ผ๊ฐ๋ณด๋ฉด์ ํ์ธํ์ต๋๋ค. ๊ทธ ๊ฒฐ๊ณผ 000 001 011 111 ๋ก ๋ณ๊ฒฝ๋๋ ๊ฒ์ ํ์ธํ์ต๋๋ค. 0(2)0(1)0(0) ๋นํธ์ ๋ณ๋ก ๋ฒํธ๋ฅผ ๋งค๊ฒผ์ ๋ ์ด๋ ๊ฒ ํํ๋๊ณ {r_valid[1:0], i_valid}๋ 0(1)0(0) ์ด ๋๋นํธ์ i_valid๊ฐ ์ถ๊ฐ๋๋ค๊ณ ์๊ฐํ๋ฉด ๋๋์??
- 1
- 2
- 400
Q&A
testbench DUT ๊ด๋ จ ์ง๋ฌธ๋๋ฆฝ๋๋ค!
์๋ ํ์ธ์! i_value ๊ฐ์ reg๋ก ์ ์ธ๋์๊ธฐ ๋๋ฌธ์ wire๋ก ์ฐ๊ฒฐ์์ผ์ค๊ฒ ๋ง๋์?? ๋๋จธ์ง output ๊ฐ์ reg๋ก ์ ์ธ๋์ง ์์๊ณ ์ฐ๊ฒฐ์์ผ์ค ํ์๊ฐ ์์ด์ด ๋น์๋๊ฒ ๋ง์๊น์?? ์ฑ์๋ ๋๋ค๋๊ฒ reg๋ก ์ ์ธ์ ๋จผ์ ํ๊ณ ์ฑ์์ผ ํ๋์??
- 1
- 2
- 620
Q&A
./build ์๋ฌ
์ ๋ ๊ฐ์ ์ฆ์์ผ๋ก xsim 43-3388 43-3915์ ๊ฐ์ ๋ฌธ์ ์ ์ด ์์๋๋ฐ์! ๋ช ๋ น์ด ์น๋๊น ์๋ฃ๋์์ต๋๋ค. ๊ทผ๋ฐ ์ ๋ฒ์ gcc๋ ๋ถ๋ช ์ค์นํ์๋๋ฐ ํด๋๊ฐ ๋ฐ๋๋ฉด ๋ค์ ์ค์นํด์ผ ํ๋์?? ์์ ํด๋์ gcc ์ค์น ๋์ด์๋๋ฐ๋ ํ์ ํด๋์์ ๋ค์ ์ค์นํด์ผ ํ๋์? ์ถ๊ฐ๋ก hello world ๋ฅผ ์์ฑํด๋ดค๋๋ฐ ๊ฐ์์ ๋ณด๋ฉด ์ ๋ ฅ์ ํ๋ ๋์ ๋ฐ์ C์ธ์ด ์ฒ๋ผ ์๋์์ฑ๊ธฐ๋ฅ? ๊ฐ์ด ๋ฐ์ ๋ณด์ด๋๋ฐ ๊ทธ๊ฒ๋ ๋ช ๋ น์ด๋ฅผ ํตํด์ ์ค์ ์ ํด์ฃผ๋ ๊ฑด๊ฐ์??
- 1
- 2
- 479
Q&A
D drive ์ ์ค์นํ๊ธฐ
exoplorer.exe . ์ด ๋ช ๋ น์ด๋ฅผ ์คํํ ๋ d๋๋ผ์ด๋ธ์์ ์คํํ๋ ์๋๋๋ฐ ๊ทธ๋ฌ๋ฉด c๋๋ผ์ด๋ธ์์ ์คํํ๊ณ ๋ณต์ฌ ๋ถ์ฌ๋ฃ๊ธฐ๋ฅผ ํด์ผํ๋์?
- 2
- 5
- 2.8K




