게시글
질문&답변
SRAM 강의 TB 작성 후 waveform 확인시에 dout이 모두 don't care 처리 관련 질문드립니다.
감사합니다. 말씀주신대로 수정을 하여도 동일하게 dout은 don't care처리가 발생하고 있습니다. 다시 한번 코드 점검을 했는데 빠진게 없는듯하여, 혹시 바쁘시겠지만 빠진 부분이 있는지 확인 한번 부탁드려도 될까요?
- 0
- 5
- 28
질문&답변
SRAM 강의 TB 작성 후 waveform 확인시에 dout이 모두 don't care 처리 관련 질문드립니다.
1) SRAM RTL Code module sram_model #( parameter DEPTH=8, parameter WIDTH=32, parameter DEPTH_log=$clog2(DEPTH))( input clk, input cs,we, input [DEPTH-1:0] ad, input [WIDTH-1:0] din, output reg [WIDTH-1:0] dout);reg [WIDTH-1:0] mem[DEPTH-1:0];initial begin /*초기화 시키기 위함*/ for( int i=0; iendalways @(posedge clk) if (cs&we) mem[ad] else if (cs) doutendmodule 2) tb_SRAMmodule sram_model #( parameter DEPTH=8, parameter WIDTH=32, parameter DEPTH_log=$clog2(DEPTH))( input clk, input cs,we, input [DEPTH-1:0] ad, input [WIDTH-1:0] din, output reg [WIDTH-1:0] dout);reg [WIDTH-1:0] mem[DEPTH-1:0];initial begin /*초기화 시키기 위함*/ for( int i=0; iendalways @(posedge clk) if (cs&we) mem[ad] else if (cs) doutendmodule 바쁘신와중에 확인해주셔서 감사드립니다.
- 0
- 5
- 28