Thumbnail
지식공유자의 깜짝할인 중(D-4)
하드웨어 반도체

Verilog를 이용한 FPGA 활용 기초 대시보드

(5)
6개의 수강평 ∙  97명의 수강생

25%

16,500원

22,000원
지식공유자: alex
총 77개 수업
수강기한: 
무제한
수료증: 발급
난이도: 
입문
-
초급
-
중급이상
지식공유자 답변이 제공되는 강의입니다
폴더에 추가 공유

초급자를 위해 준비한
[임베디드 · IoT, 반도체] 강의입니다.

이 강의를 통하여 수강생들은 Verilog 학습에 필요한 기본, 핵심 지식을 습득하게 됩니다.

✍️
이런 걸
배워요!
Verilog 프로그래밍
State Machine 구현

베릴로그 프로그래밍의 핵심을 잡았습니다!

💾 수강 전 확인해주세요!

  • 본 강의는 PDF 형태의 텍스트 강의로, 별도 영상을 제공하지 않습니다. (총 43,651자 분량)
  • 강의를 수강하시는 분들께는 강의에 사용된 소스 파일을 제공합니다. 강의 자료 (PDF 문서 및 소스) 는 섹션 0 [전자문서, 자료 공유 링크] 수업에서 확인하실 수 있습니다.
  • 본 강의에 소개되는 소스는 Vivado 2018.3 (Windows) 에서 구현되었습니다. 다른 버전에서도 동작하는 데는 크게 문제되지 않습니다.

Verilog 코딩이 처음이신가요?

이 강의에서는 Verilog(베릴로그)를 배우시는 분들에게 Verilog의 중요한 특징들을 설명해 드립니다.

Verilog Programming
마이크로컨트롤러 프로그래밍을 위한 FPGA 코딩의 기본

Verilog는 우리가 흔히 접하는 C, C++, C#, JAVA, PYTHON 등과는 매우 다른 세계의 언어입니다. Verilog를 처음 접하시는 분들이라면 이전에 경험하지 못한, 매우 낯선 환경의 프로그래밍 언어로 느껴질 수 있습니다.

Verilog는 FPGA를 활용한 마이크로 컨트롤러 프로그래밍에 관심이 있는 분들께 널리 쓰이고 있습니다. 하지만 낯설고 생소한데다 한국어로 된 문서나 학습 자료가 많지 않습니다. FPGA 전문 회사인 Xilinx(자일링스)에서 여러 문서를 제공하고 있지만, 어떤 문서를 어떻게 봐야 할지 경험이 없는 분들 입장에서는 도통 알 수가 없습니다.

Verilog를 이용한 FPGA 활용을 배우고 싶으신가요? 이 강의에서는 시뮬레이션을 통해 결과를 확인하며 Verilog의 중요한 개념을 알아봅니다. 이 강의가 FPGA를 시작하는 좋은 길잡이가 될 수 있기를 바랍니다.

이런 분들께 추천합니다.

1️⃣ Verilog의 중요한 특징을 알고 싶은 분

2️⃣ Xilinx FPGA를 배우고 싶으신 분


강의의 특징을 
확인해보세요.

본 강의는 Verilog의 기본 문법을 다루지는 않습니다. Verilog를 공부하기 위하여 핵심적으로 알아야 할 내용들을 친절하게 설명하고, Simulation을 통해서 결과를 확인함으로 더욱 쉽게 이해할 수 있도록 구성되어 있습니다.

상세 커리큘럼 (목차)
  • 개요 (2)
  • register는 무엇인가? (3)
    • reg 회로 분석 (4)
    • simulation (21)
  • reg 와 wire (34)
    • 실습 – 1 (1-clock 안에 이루어지는 경우) (35)
    • 실습 – 2 (2-clock 안에 이루어지는 경우) (38)
    • delay 오류로 인한 오동작 (40)
  • signed, unsigned 덧셈, 뺄셈 (44)
    • unsigned 덧셈 (44)
    • unsigned 뺄셈 (46)
    • signed 덧셈, 뺄셈  (47)
    • unsigned number 곱하기 (52)
      • 상수 곱하기 (52)
      • dsp (dsp slice) (53)
      • 변수 곱하기 (54)
      • 10bits * 10bits 연산 구현 (55)
      • 10bits * 10bits 연산 비교 (57)
  • SM (State Machine) 구현 (61)
    • SM 구성 (61)
    • 상태 정의 (62)
    • 상태 플래그 생성 (62)
    • 코드 구현 (62)
    • 상태 전이 구현 (64)
    • simulation 결과 확인 (65)
  • for 루프 구현 (67)
    • C로 구현 (67)
    • verilog로 구현 (68)
  • 그 외의 내용 (72)
  • Revision History (76)

🎙️ 강의를 만든 지식공유자 소개

저는 20여 년 동안 대기업, 중소기업에서 개발자로 일해왔고 현재는 작은 기업을 운영하고 있습니다. CCTV용 ISP(Image Signal Processing) ASIC을 개발하였고 OLED 검사 장비, DAQ (Data Acquisition System) 등 FPGA를 이용한 많은 제품을 개발하였습니다. FPGA뿐만 아니라 FW 개발 (STM32, PIC32, AVR, ATMEGA 등), 회로 설계, Windows Program 등 많은 경험을 갖고 있습니다. 그동안 제가 쌓아온 폭넓은 경험을 바탕으로 여러분의 학습에 도움이 되기를 소망합니다.

함께 보면 좋은 강의 📖

이런 분들께 추천드려요!

🎓
학습 대상은
누구일까요?
Verilog를 학습하는 데 어려움을 겪고 계신 분
Xilinx FPGA를 배우시려는 분
📚
선수 지식,
필요할까요?
기초 Verilog 문법
Vivado 툴 사용법

안녕하세요
alex 입니다.
alex의 썸네일

저는 지난 20여년 동안 대기업, 중소기업에서 개발자로 일해왔고

현재는 작은 기업의 대표로 있습니다.

주요 경력사항은

  • Verilog HDL을 이용한 FPGA 설계

    • CCTV용 ISP ASIC 개발 (약 10년)

    • OLED Display 검사장비 개발 (약 3년)

    • FPGA를 이용한 장비 개발

  • MCU FW

    • STM32

    • PIC32

    • AVR, ATMEGA

    • DSP (TI)

  • Windows Application Program

    • Visual Studio MFC, C++

입니다.

커리큘럼 총 77 개
이 강의는 수업 노트, 첨부 파일이 제공됩니다. 미리보기를 통해 콘텐츠를 확인해보세요.
섹션 0. 개요
개요 - 0 미리보기
전자문서, 자료 공유 링크
섹션 1. Register는 무엇인가?
Register는 무엇인가 - 0 미리보기 Register는 무엇인가 - 1 미리보기 Register는 무엇인가 - 2 미리보기 Register는 무엇인가 - 3 미리보기
Register는 무엇인가 - 4
Register는 무엇인가 - 5
Register는 무엇인가 - 6
Register는 무엇인가 - 7
Register는 무엇인가 - 8
Register는 무엇인가 - 9
Register는 무엇인가 - 10
Register는 무엇인가 - 11
Register는 무엇인가 - 12
Register는 무엇인가 - 13
Register는 무엇인가 - 14
Register는 무엇인가 - 15
Register는 무엇인가 - 16
Register는 무엇인가 - 17 미리보기 Register는 무엇인가 - 18 미리보기
Register는 무엇인가 - 19
Register는 무엇인가 - 20
Register는 무엇인가 - 21
Register는 무엇인가 - 22
Register는 무엇인가 - 23
Register는 무엇인가 - 24
Register는 무엇인가 - 25
Register는 무엇인가 - 26
Register는 무엇인가 - 27
Register는 무엇인가 - 28
Register는 무엇인가 - 29 미리보기 Register는 무엇인가 - 30 미리보기
섹션 2. reg 와 wire
reg 와 wire - 0
reg 와 wire - 1
reg 와 wire - 2
reg 와 wire - 3
reg 와 wire - 4 미리보기
reg 와 wire - 5
reg 와 wire - 6 미리보기
reg 와 wire - 7
reg 와 wire - 8
reg 와 wire - 9 미리보기
섹션 3. signed, unsigned 덧셈, 뺄셈
signed, unsigned 덧셈, 뺄셈 - 0 미리보기
signed, unsigned 덧셈, 뺄셈 - 1
signed, unsigned 덧셈, 뺄셈 - 2
signed, unsigned 덧셈, 뺄셈 - 3
signed, unsigned 덧셈, 뺄셈 - 4
signed, unsigned 덧셈, 뺄셈 - 5
signed, unsigned 덧셈, 뺄셈 - 6
signed, unsigned 덧셈, 뺄셈 - 7
signed, unsigned 덧셈, 뺄셈 - 8
signed, unsigned 덧셈, 뺄셈 - 9
signed, unsigned 덧셈, 뺄셈 - 10
signed, unsigned 덧셈, 뺄셈 - 11
signed, unsigned 덧셈, 뺄셈 - 12
signed, unsigned 덧셈, 뺄셈 - 13
signed, unsigned 덧셈, 뺄셈 - 14
signed, unsigned 덧셈, 뺄셈 - 15
signed, unsigned 덧셈, 뺄셈 - 16
섹션 4. SM (State Machine) 구현
SM (State Machine) 구현 - 0
SM (State Machine) 구현 - 1 미리보기
SM (State Machine) 구현 - 2
SM (State Machine) 구현 - 3
SM (State Machine) 구현 - 4
SM (State Machine) 구현 - 5
섹션 5. for 루프 구현
for 루프 구현 - 0
for 루프 구현 - 1 미리보기 for 루프 구현 - 2 미리보기
for 루프 구현 - 3
for 루프 구현 - 4
섹션 6. 그 외의 내용
그 외의 내용 - 0
그 외의 내용 - 1
그 외의 내용 - 2
그 외의 내용 - 3
섹션 7. 기타
기타 - 0
기타 - 1
강의 게시일 : 2023년 03월 17일 (마지막 업데이트일 : 2023년 03월 25일)
수강평 총 6개
수강생분들이 직접 작성하신 수강평입니다.
5
6개의 수강평
5점
4점
3점
2점
1점
VIEW 추천 순 최신 순 높은 평점 순 낮은 평점 순 평점 순 높은 평점 순 낮은 평점 순
한PU thumbnail
5
RTL 엔지니어가 되고싶은 학부 2학년입니다. 질좋은 자료를 통해 Verilog를 쉽게 배울 수 있어 너무 감사합니다. 궁금한 점이 있는데, Alex님의 커리큘럼은 어떻게 되시나요? 강의가 10개 이상있는데, 레벨에 따른 수강 순서를 알고 싶습니다. 감사합니다.
2023-09-28
지식공유자 alex
수강평 감사드립니다. 제가 학부 2학년 때에는 or, and gate 배웠던 기억이 납니다 ㅎ 내용이 어려울 수도 있는데 대단하고 좋은 RTL 엔지니어가 되도록 응원합니다. 강의 커리큘럼에 대한 설명은 아래 링크를 참고하세요. 그리고 제 강의는 보드에서 실습하고 결과를 확인할 수 있도록 구성되어 있습니다. 그러나 보드 가격이 높아서 부담이 될 것으로 생각됩니다. 현재 저렴한 개발보드를 만들어 출시를 준비중입니다. 보드는 나중에 구매하시고 일단 강의를 보면서 코딩하고 simulation 까지 진행하시면 도움이 될 것으로 생각됩니다. 강의 소개 링크 : https://cafe.naver.com/worshippt/271 감사합니다 ~!!
2023-09-28
Joy Lee thumbnail
5
비개발자로 뒤늦게 공부하는 FPGA , 쉽지 않지만 GO 합니다. 다음 스텝으로...
2024-01-11
지식공유자 alex
FPGA가 한글로 된 문서도 없고 자료도 많이 부족합니다. 제 강의를 통해 열심히 하신다면 좋은 개발자가 되실 수 있을 것이라 생각합니다. 쉽지는 않지만 인내심을 가지고 천천히 하시면 분명 훌륭한 개발자가 되실 것입니다. 파이팅 하시길 바랍니다 ~!!
2024-01-11
이예성 thumbnail
5
Verilog FPGA Program 1 을 수강하면서 전반적인 내용의 흐름을 따라가는것은 의지와 집중으로 해결이 되었는데, 기초적인 용어들의 개념이 잡히지 않아서 수강하게 되었습니다. 저처럼 맨바닥에서 시작하시는 분들은 기초 강의와 Verilog FPGA Program 1 강의를 병행하면서 진행하시는걸 추천합니다. 뭔가 확실하게 잡지 않고 지나간 내용을 복습하면서 조금 더 내것으로 만드는것 같습니다 ^^
2024-01-24
5
대학 수업중 FPGA보드를 접하게 되었습니다. 대학 강의 내용으로는 테스트벤치가 뭔지 베릴로그가 뭔지 이해가 잘 가지 않아서 수업을 잘 따라가지 못했습니다. 특히 VIvado 로 진행되는 프로그램이어서 인터넷에 자료 또한 많지 않습니다. 아무것도 못하는 상태에서 이 수업을 통해서 프로젝트를 만들는 법을 배웠고. top모듈, 테스트벤치 작성 등을 알게 되었습니다. Vivado로 FPGA보드를 쓰시고, 현재 내가 아무것도 모르겠다면 이 강의 들어보시는거 추천드립니다
2023-04-21
지식공유자 alex
수강평 감사드립니다. 한글로 된 Fpga, Verilog 관련 자료가 많지 않어서 저도 처음에 배울 때 어려웠던 기억이 있습니다. 자료 통해 도움이 되셨다니 정말로 감사합니다. 즐공하셔서 훌륭한 fpga 개발자 되실길 바랍니다. 감사합니다 ~!!
2023-04-21
바람사라기 thumbnail
5
verilog 을 실습하기에 아주 좋은 강의라고 생각됩니다.
2023-06-12
지식공유자 alex
수강평 감사드립니다~!!
2023-06-12